aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue159/repro.vhdl
blob: bc172a403891c628ab6bd87d6946245533d5161f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity repro is
  port (i : std_logic;
        o : out std_logic);
end;

architecture behav of repro is
begin
  process(i)
    variable v : std_logic;
  begin
    o <= i or v;
  end process;
end behav;