aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue158/repro4.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/issues/issue158/repro4.vhdl')
-rw-r--r--testsuite/issues/issue158/repro4.vhdl24
1 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/issues/issue158/repro4.vhdl b/testsuite/issues/issue158/repro4.vhdl
new file mode 100644
index 0000000..3252763
--- /dev/null
+++ b/testsuite/issues/issue158/repro4.vhdl
@@ -0,0 +1,24 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro4 is
+ port(
+ clk : in std_logic;
+ iftrue : out std_logic);
+end;
+
+architecture arch of repro4 is
+ type variables_t is record
+ iftrue : std_logic;
+ return_output : std_logic;
+ end record;
+begin
+ process (clk) is
+ variable read_pipe : variables_t;
+ variable write_pipe : variables_t;
+ begin
+ write_pipe := read_pipe;
+ iftrue <= write_pipe.iftrue;
+ read_pipe := write_pipe;
+ end process;
+end arch;