aboutsummaryrefslogtreecommitdiffstats
path: root/icezum
diff options
context:
space:
mode:
Diffstat (limited to 'icezum')
-rw-r--r--icezum/led_on/Makefile2
1 files changed, 1 insertions, 1 deletions
diff --git a/icezum/led_on/Makefile b/icezum/led_on/Makefile
index 8ef70dd..53f078b 100644
--- a/icezum/led_on/Makefile
+++ b/icezum/led_on/Makefile
@@ -6,7 +6,7 @@ all: $(PROJ).rpt $(PROJ).bin
%.blif: %.vhdl
ghdl -a $(PROJ).vhdl
- yosys -m ../../ghdl.so -p 'ghdl led_on; synth_ice40 -blif $@'
+ yosys -m ../../ghdl.so -p 'ghdl $(PROJ); synth_ice40 -blif $@'
##yosys -p 'synth_ice40 -top top -blif $@' $<
%.asc: $(PIN_DEF) %.blif