aboutsummaryrefslogtreecommitdiffstats
path: root/icezum/led_on/Makefile
blob: 8ef70dddb8d2b943772dcf66b6cde1e05025bcf2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
PROJ = led_on
PIN_DEF = led_on.pcf
DEVICE = hx1k

all: $(PROJ).rpt $(PROJ).bin

%.blif: %.vhdl
	ghdl -a $(PROJ).vhdl
	yosys -m ../../ghdl.so -p 'ghdl led_on; synth_ice40 -blif $@'
	##yosys -p 'synth_ice40 -top top -blif $@' $<

%.asc: $(PIN_DEF) %.blif
	arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^

%.bin: %.asc
	icepack $< $@

%.rpt: %.asc
	icetime -d $(DEVICE) -mtr $@ $<

prog: $(PROJ).bin
	iceprog $<

sudo-prog: $(PROJ).bin
	@echo 'Executing prog as root!!!'
	sudo iceprog $<

clean:
	rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin work-obj93.cf

.SECONDARY:
.PHONY: all prog clean