diff options
author | root <root@new-fish.medaka.james.internal> | 2025-09-04 20:32:47 +0100 |
---|---|---|
committer | root <root@new-fish.medaka.james.internal> | 2025-09-04 20:32:47 +0100 |
commit | 086ef0d265509342a23d3254c2f80b5a453e132a (patch) | |
tree | fb90feb1318e8f508460a1f05c59ad00d64378bc /fpga/hp_lcd_driver/ebaz4205.xdc | |
parent | a26678ba457c91b54f90a4a8588a2572fa6417c3 (diff) | |
download | hp_instrument_lcds-086ef0d265509342a23d3254c2f80b5a453e132a.tar.gz hp_instrument_lcds-086ef0d265509342a23d3254c2f80b5a453e132a.tar.bz2 hp_instrument_lcds-086ef0d265509342a23d3254c2f80b5a453e132a.zip |
zynq build works
Diffstat (limited to 'fpga/hp_lcd_driver/ebaz4205.xdc')
-rw-r--r-- | fpga/hp_lcd_driver/ebaz4205.xdc | 86 |
1 files changed, 43 insertions, 43 deletions
diff --git a/fpga/hp_lcd_driver/ebaz4205.xdc b/fpga/hp_lcd_driver/ebaz4205.xdc index 903334c..93da55b 100644 --- a/fpga/hp_lcd_driver/ebaz4205.xdc +++ b/fpga/hp_lcd_driver/ebaz4205.xdc @@ -27,31 +27,30 @@ set_property PACKAGE_PIN W14 [get_ports {red_led}] set_property IOSTANDARD LVCMOS33 [get_ports *_led] - #set_property IOSTANDARD LVCMOS33 [get_ports clk_50m] #set_property PACKAGE_PIN R4 [get_ports clk_50m] #set_property PACKAGE_PIN T1 [get_ports {led_1}] #set_property IOSTANDARD LVCMOS33 [get_ports {led_1}] -#set_property PACKAGE_PIN U3 [get_ports {hdmi_r_p}] -#set_property PACKAGE_PIN V3 [get_ports {hdmi_r_n}] -#set_property PACKAGE_PIN R6 [get_ports {hdmi_g_p}] -#set_property PACKAGE_PIN T6 [get_ports {hdmi_g_n}] -#set_property PACKAGE_PIN R3 [get_ports {hdmi_b_p}] -#set_property PACKAGE_PIN R2 [get_ports {hdmi_b_n}] -#set_property PACKAGE_PIN Y3 [get_ports {hdmi_c_p}] -#set_property PACKAGE_PIN AA3 [get_ports {hdmi_c_n}] -# -#set_property IOSTANDARD TMDS_33 [get_ports {hdmi_c_p}] -#set_property IOSTANDARD TMDS_33 [get_ports {hdmi_c_n}] -#set_property IOSTANDARD TMDS_33 [get_ports {hdmi_r_p}] -#set_property IOSTANDARD TMDS_33 [get_ports {hdmi_r_n}] -#set_property IOSTANDARD TMDS_33 [get_ports {hdmi_g_p}] -#set_property IOSTANDARD TMDS_33 [get_ports {hdmi_g_n}] -#set_property IOSTANDARD TMDS_33 [get_ports {hdmi_b_p}] -#set_property IOSTANDARD TMDS_33 [get_ports {hdmi_b_n}] -# +set_property PACKAGE_PIN G19 [get_ports {hdmi_r_p}]; #data2-5 +set_property PACKAGE_PIN G20 [get_ports {hdmi_r_n}]; #data2-7 +set_property PACKAGE_PIN K19 [get_ports {hdmi_g_p}]; #data2-13 +set_property PACKAGE_PIN J19 [get_ports {hdmi_g_n}]; #data2-9 +set_property PACKAGE_PIN L19 [get_ports {hdmi_b_p}]; #data2-16 +set_property PACKAGE_PIN L20 [get_ports {hdmi_b_n}]; #data2-18 +set_property PACKAGE_PIN L16 [get_ports {hdmi_c_p}]; #data2-15 +set_property PACKAGE_PIN L17 [get_ports {hdmi_c_n}]; #data2-20 + +set_property IOSTANDARD TMDS_33 [get_ports {hdmi_c_p}] +set_property IOSTANDARD TMDS_33 [get_ports {hdmi_c_n}] +set_property IOSTANDARD TMDS_33 [get_ports {hdmi_r_p}] +set_property IOSTANDARD TMDS_33 [get_ports {hdmi_r_n}] +set_property IOSTANDARD TMDS_33 [get_ports {hdmi_g_p}] +set_property IOSTANDARD TMDS_33 [get_ports {hdmi_g_n}] +set_property IOSTANDARD TMDS_33 [get_ports {hdmi_b_p}] +set_property IOSTANDARD TMDS_33 [get_ports {hdmi_b_n}] + ##set_property DRIVE 16 [get_ports {hdmi_c_p}] ##set_property DRIVE 16 [get_ports {hdmi_c_n}] ##set_property DRIVE 16 [get_ports {hdmi_r_p}] @@ -60,8 +59,8 @@ set_property IOSTANDARD LVCMOS33 [get_ports *_led] ##set_property DRIVE 16 [get_ports {hdmi_g_n}] ##set_property DRIVE 16 [get_ports {hdmi_b_p}] # -#set_property PACKAGE_PIN W1 [get_ports {hdmi_vcc}] -#set_property IOSTANDARD LVCMOS33 [get_ports {hdmi_vcc}] +set_property PACKAGE_PIN H20 [get_ports {hdmi_vcc}]; #data2-8 +set_property IOSTANDARD LVCMOS33 [get_ports {hdmi_vcc}] # # ##set_property PACKAGE_PIN P20 [get_ports rxd] @@ -72,27 +71,28 @@ set_property IOSTANDARD LVCMOS33 [get_ports *_led] ##set_property PACKAGE_PIN T3 [get_ports key] ##set_property IOSTANDARD LVCMOS33 [get_ports key] # -#set_property PACKAGE_PIN N22 [get_ports {video[0]}] -#set_property PACKAGE_PIN N20 [get_ports {video[1]}] -#set_property PACKAGE_PIN N18 [get_ports {video[2]}] -#set_property PACKAGE_PIN K18 [get_ports {video[3]}] -#set_property PACKAGE_PIN M18 [get_ports {video[4]}] -#set_property PACKAGE_PIN M15 [get_ports {video[5]}] -#set_property PACKAGE_PIN U20 [get_ports {video[6]}] -#set_property PACKAGE_PIN T21 [get_ports {video[7]}] +set_property PACKAGE_PIN A20 [get_ports {video[0]}]; #data1-5 +set_property PACKAGE_PIN B19 [get_ports {video[1]}]; #data1-7 +set_property PACKAGE_PIN C20 [get_ports {video[2]}]; #data1-9 +set_property PACKAGE_PIN H17 [get_ports {video[3]}]; #data1-11 +set_property PACKAGE_PIN D20 [get_ports {video[4]}]; #data1-13 +set_property PACKAGE_PIN H18 [get_ports {video[5]}]; #data1-15 +set_property PACKAGE_PIN F20 [get_ports {video[6]}]; #data1-17 +set_property PACKAGE_PIN F19 [get_ports {video[7]}]; #data1-19 +# +set_property IOSTANDARD LVCMOS33 [get_ports {video[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {video[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {video[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {video[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {video[4]}] +set_property IOSTANDARD LVCMOS33 [get_ports {video[5]}] +set_property IOSTANDARD LVCMOS33 [get_ports {video[6]}] +set_property IOSTANDARD LVCMOS33 [get_ports {video[7]}] # -#set_property IOSTANDARD LVCMOS33 [get_ports {video[0]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {video[1]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {video[2]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {video[3]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {video[4]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {video[5]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {video[6]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {video[7]}] +set_property PACKAGE_PIN H16 [get_ports {pclk_in}]; #data1-6 +set_property PACKAGE_PIN D18 [get_ports {vsync_in}]; #data1-14 +set_property PACKAGE_PIN D19 [get_ports {hsync_in}]; #data1-16 # -#set_property PACKAGE_PIN W19 [get_ports {pclk_in}] -#set_property PACKAGE_PIN R18 [get_ports {vsync_in}] -#set_property PACKAGE_PIN Y18 [get_ports {hsync_in}] #set_property PACKAGE_PIN P16 [get_ports {r_out}] #set_property PACKAGE_PIN V18 [get_ports {g_out}] #set_property PACKAGE_PIN P15 [get_ports {b_out}] @@ -101,9 +101,9 @@ set_property IOSTANDARD LVCMOS33 [get_ports *_led] #set_property PACKAGE_PIN N13 [get_ports {vsync_out}] # # -#set_property IOSTANDARD LVCMOS33 [get_ports {pclk_in}] -#set_property IOSTANDARD LVCMOS33 [get_ports {vsync_in}] -#set_property IOSTANDARD LVCMOS33 [get_ports {hsync_in}] +set_property IOSTANDARD LVCMOS33 [get_ports {pclk_in}] +set_property IOSTANDARD LVCMOS33 [get_ports {vsync_in}] +set_property IOSTANDARD LVCMOS33 [get_ports {hsync_in}] #set_property IOSTANDARD LVCMOS33 [get_ports {r_out}] #set_property IOSTANDARD LVCMOS33 [get_ports {g_out}] #set_property IOSTANDARD LVCMOS33 [get_ports {b_out}] |