aboutsummaryrefslogtreecommitdiffstats
path: root/CII_Starter_pin_assignments.csv
Commit message (Collapse)AuthorAgeFilesLines
* Added pin definitions for SD card interface. Fixed bug in 6522 ↵Mike Stirling2011-07-311-0/+5
| | | | shift-register implementation (shift on CB1 rising edge should occur even in SR disabled mode). Works with MMBEEB SD card interface ROM.
* Work in progress. Added PS/2 keyboard interface, hardware debugger. ↵Mike Stirling2011-07-291-0/+1
| | | | Interrupt test output to 6522 (remove later). Fixed error in pin assignments that blocked access to Flash chip select. Added reading sideways ROMs from external Flash (all but BASIC masked off for now).
* Initial commit. Some modules imported from experimental 6502 platform. ↵Mike Stirling2011-07-121-0/+449
Project added for Quartus 9.1