aboutsummaryrefslogtreecommitdiffstats
path: root/m6522.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'm6522.vhd')
-rw-r--r--m6522.vhd1
1 files changed, 0 insertions, 1 deletions
diff --git a/m6522.vhd b/m6522.vhd
index cb3e827..f0b974a 100644
--- a/m6522.vhd
+++ b/m6522.vhd
@@ -191,7 +191,6 @@ architecture RTL of M6522 is
signal final_irq : std_logic;
begin
-
p_phase : process
begin
-- internal clock phase