aboutsummaryrefslogtreecommitdiffstats
path: root/debugger.vhd
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-09-18 10:03:25 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-09-18 10:03:25 +0100
commitcfcc0cd6afdbfd43ce82834e589b15591dc76944 (patch)
treed88f208e5e4f111025c8512885f1384fc1530362 /debugger.vhd
parent3eb0950c04b078262bd7cb5689f2a143832dbad7 (diff)
downloadfpga-bbc-cfcc0cd6afdbfd43ce82834e589b15591dc76944.tar.gz
fpga-bbc-cfcc0cd6afdbfd43ce82834e589b15591dc76944.tar.bz2
fpga-bbc-cfcc0cd6afdbfd43ce82834e589b15591dc76944.zip
Updated license text
Diffstat (limited to 'debugger.vhd')
-rw-r--r--debugger.vhd17
1 files changed, 10 insertions, 7 deletions
diff --git a/debugger.vhd b/debugger.vhd
index c0c9f94..1d78bd5 100644
--- a/debugger.vhd
+++ b/debugger.vhd
@@ -1,9 +1,3 @@
---
--- General purpose hardware debugger
---
--- (C) 2011 Mike Stirling
---
-
-- BBC Micro for Altera DE1
--
-- Copyright (c) 2011 Mike Stirling
@@ -22,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
@@ -36,6 +34,11 @@
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
+--
+-- General purpose hardware debugger
+--
+-- (C) 2011 Mike Stirling
+--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;