aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-09-18 10:03:25 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-09-18 10:03:25 +0100
commitcfcc0cd6afdbfd43ce82834e589b15591dc76944 (patch)
treed88f208e5e4f111025c8512885f1384fc1530362
parent3eb0950c04b078262bd7cb5689f2a143832dbad7 (diff)
downloadfpga-bbc-cfcc0cd6afdbfd43ce82834e589b15591dc76944.tar.gz
fpga-bbc-cfcc0cd6afdbfd43ce82834e589b15591dc76944.tar.bz2
fpga-bbc-cfcc0cd6afdbfd43ce82834e589b15591dc76944.zip
Updated license text
-rw-r--r--bbc_micro_de1.vhd7
-rw-r--r--bbc_micro_de1_tb.vhd6
-rw-r--r--debugger.vhd17
-rw-r--r--i2c_loader.vhd8
-rw-r--r--i2s_intf.vhd60
-rw-r--r--keyboard.vhd7
-rw-r--r--m6522_tb.vhd6
-rw-r--r--mc6845.vhd7
-rw-r--r--ps2_intf.vhd8
-rw-r--r--saa5050.vhd7
-rw-r--r--seg7.vhd8
-rw-r--r--vidproc.vhd7
12 files changed, 95 insertions, 53 deletions
diff --git a/bbc_micro_de1.vhd b/bbc_micro_de1.vhd
index d114a57..e109c36 100644
--- a/bbc_micro_de1.vhd
+++ b/bbc_micro_de1.vhd
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
@@ -30,7 +34,6 @@
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-
-- BBC B Micro
--
-- Terasic DE1 top-level
diff --git a/bbc_micro_de1_tb.vhd b/bbc_micro_de1_tb.vhd
index ffb4724..82012ec 100644
--- a/bbc_micro_de1_tb.vhd
+++ b/bbc_micro_de1_tb.vhd
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
diff --git a/debugger.vhd b/debugger.vhd
index c0c9f94..1d78bd5 100644
--- a/debugger.vhd
+++ b/debugger.vhd
@@ -1,9 +1,3 @@
---
--- General purpose hardware debugger
---
--- (C) 2011 Mike Stirling
---
-
-- BBC Micro for Altera DE1
--
-- Copyright (c) 2011 Mike Stirling
@@ -22,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
@@ -36,6 +34,11 @@
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
+--
+-- General purpose hardware debugger
+--
+-- (C) 2011 Mike Stirling
+--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
diff --git a/i2c_loader.vhd b/i2c_loader.vhd
index 385d9c5..35da643 100644
--- a/i2c_loader.vhd
+++ b/i2c_loader.vhd
@@ -1,6 +1,6 @@
-- ZX Spectrum for Altera DE1
--
--- Copyright (c) 2009-2010 Mike Stirling
+-- Copyright (c) 2009-2011 Mike Stirling
--
-- All rights reserved
--
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
diff --git a/i2s_intf.vhd b/i2s_intf.vhd
index 43247a5..e15ce2c 100644
--- a/i2s_intf.vhd
+++ b/i2s_intf.vhd
@@ -1,33 +1,37 @@
-- ZX Spectrum for Altera DE1
--
--- Copyright (c) 2009-2010 Mike Stirling
---
--- All rights reserved
---
--- Redistribution and use in source and synthezised forms, with or without
--- modification, are permitted provided that the following conditions are met:
---
--- * Redistributions of source code must retain the above copyright notice,
--- this list of conditions and the following disclaimer.
---
--- * Redistributions in synthesized form must reproduce the above copyright
--- notice, this list of conditions and the following disclaimer in the
--- documentation and/or other materials provided with the distribution.
---
--- * Neither the name of the author nor the names of other contributors may
--- be used to endorse or promote products derived from this software without
--- specific prior written permission.
---
--- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
--- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
--- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
--- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
--- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
--- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
--- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
--- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
--- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
--- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
+-- Copyright (c) 2009-2011 Mike Stirling
+--
+-- All rights reserved
+--
+-- Redistribution and use in source and synthezised forms, with or without
+-- modification, are permitted provided that the following conditions are met:
+--
+-- * Redistributions of source code must retain the above copyright notice,
+-- this list of conditions and the following disclaimer.
+--
+-- * Redistributions in synthesized form must reproduce the above copyright
+-- notice, this list of conditions and the following disclaimer in the
+-- documentation and/or other materials provided with the distribution.
+--
+-- * Neither the name of the author nor the names of other contributors may
+-- be used to endorse or promote products derived from this software without
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
+--
+-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
+-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
+-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
+-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
+-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
+-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
+-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
+-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
+-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
diff --git a/keyboard.vhd b/keyboard.vhd
index a398b26..c0b8603 100644
--- a/keyboard.vhd
+++ b/keyboard.vhd
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
@@ -30,7 +34,6 @@
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-
-- BBC keyboard implementation with interface to PS/2
--
-- (C) 2011 Mike Stirling
diff --git a/m6522_tb.vhd b/m6522_tb.vhd
index 740d421..3e4262d 100644
--- a/m6522_tb.vhd
+++ b/m6522_tb.vhd
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
diff --git a/mc6845.vhd b/mc6845.vhd
index 2655427..31208ea 100644
--- a/mc6845.vhd
+++ b/mc6845.vhd
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
@@ -30,7 +34,6 @@
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-
-- MC6845 CRTC
--
-- Synchronous implementation for FPGA
diff --git a/ps2_intf.vhd b/ps2_intf.vhd
index 3cd15bf..dc8440f 100644
--- a/ps2_intf.vhd
+++ b/ps2_intf.vhd
@@ -1,6 +1,6 @@
-- ZX Spectrum for Altera DE1
--
--- Copyright (c) 2009-2010 Mike Stirling
+-- Copyright (c) 2009-2011 Mike Stirling
--
-- All rights reserved
--
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
diff --git a/saa5050.vhd b/saa5050.vhd
index fb5dcd2..57dd999 100644
--- a/saa5050.vhd
+++ b/saa5050.vhd
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
@@ -30,7 +34,6 @@
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-
-- SAA5050 teletext generator
--
-- Synchronous implementation for FPGA. Certain TV-specific functions are
diff --git a/seg7.vhd b/seg7.vhd
index beb18b2..a61e679 100644
--- a/seg7.vhd
+++ b/seg7.vhd
@@ -1,6 +1,6 @@
-- BBC Micro for Altera DE1
--
--- Copyright (c) 2011 Mike Stirling
+-- Copyright (c) 2009-2011 Mike Stirling
--
-- All rights reserved
--
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
diff --git a/vidproc.vhd b/vidproc.vhd
index ca0d524..6d5f91f 100644
--- a/vidproc.vhd
+++ b/vidproc.vhd
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
@@ -30,7 +34,6 @@
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-
-- BBC Micro "VIDPROC" Video ULA
--
-- Synchronous implementation for FPGA