aboutsummaryrefslogtreecommitdiffstats
path: root/bbc_micro_de1.vhd
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-09-18 10:03:25 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-09-18 10:03:25 +0100
commitcfcc0cd6afdbfd43ce82834e589b15591dc76944 (patch)
treed88f208e5e4f111025c8512885f1384fc1530362 /bbc_micro_de1.vhd
parent3eb0950c04b078262bd7cb5689f2a143832dbad7 (diff)
downloadfpga-bbc-cfcc0cd6afdbfd43ce82834e589b15591dc76944.tar.gz
fpga-bbc-cfcc0cd6afdbfd43ce82834e589b15591dc76944.tar.bz2
fpga-bbc-cfcc0cd6afdbfd43ce82834e589b15591dc76944.zip
Updated license text
Diffstat (limited to 'bbc_micro_de1.vhd')
-rw-r--r--bbc_micro_de1.vhd7
1 files changed, 5 insertions, 2 deletions
diff --git a/bbc_micro_de1.vhd b/bbc_micro_de1.vhd
index d114a57..e109c36 100644
--- a/bbc_micro_de1.vhd
+++ b/bbc_micro_de1.vhd
@@ -16,7 +16,11 @@
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
--- specific prior written permission.
+-- specific prior written agreement from the author.
+--
+-- * License is granted for non-commercial use only. A fee may not be charged
+-- for redistributions as source code or in synthesized/hardware form without
+-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
@@ -30,7 +34,6 @@
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-
-- BBC B Micro
--
-- Terasic DE1 top-level