aboutsummaryrefslogtreecommitdiffstats
path: root/bbc_micro_de1.vhd
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-07-31 11:17:00 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-07-31 11:17:00 +0100
commit263ebee92985bfd0d9e2c894dfbfc5c34e270159 (patch)
treeb55d49a9d0e8c08a8f1651dc78967e51b1c8fab3 /bbc_micro_de1.vhd
parentfc9ea65b8ac1849c9756cc58e6f14500646b1d8c (diff)
downloadfpga-bbc-263ebee92985bfd0d9e2c894dfbfc5c34e270159.tar.gz
fpga-bbc-263ebee92985bfd0d9e2c894dfbfc5c34e270159.tar.bz2
fpga-bbc-263ebee92985bfd0d9e2c894dfbfc5c34e270159.zip
Added pin definitions for SD card interface. Fixed bug in 6522 shift-register implementation (shift on CB1 rising edge should occur even in SR disabled mode). Works with MMBEEB SD card interface ROM.
Diffstat (limited to 'bbc_micro_de1.vhd')
-rw-r--r--bbc_micro_de1.vhd24
1 files changed, 19 insertions, 5 deletions
diff --git a/bbc_micro_de1.vhd b/bbc_micro_de1.vhd
index a32d934..77922e2 100644
--- a/bbc_micro_de1.vhd
+++ b/bbc_micro_de1.vhd
@@ -90,6 +90,12 @@ port (
FL_WE_N : out std_logic;
FL_CE_N : out std_logic;
+ -- SD card (SPI mode)
+ SD_nCS : out std_logic;
+ SD_MOSI : out std_logic;
+ SD_SCLK : out std_logic;
+ SD_MISO : in std_logic;
+
-- GPIO
GPIO_0 : inout std_logic_vector(35 downto 0);
GPIO_1 : inout std_logic_vector(35 downto 0)
@@ -912,7 +918,7 @@ begin
"00" when mos_enable = '1' else
"01" when rom_enable = '1' and romsel(1 downto 0) = "11" else -- BASIC
"10" when rom_enable = '1' and romsel(1 downto 0) = "00" else -- DFS
- "11";
+ "11"; -- MMC ROM
-- SRAM bus
SRAM_UB_N <= '1';
@@ -1017,7 +1023,15 @@ begin
-- Connections to User VIA (user port is output on green LEDs)
user_via_ca1_in <= '1'; -- Pulled up
- LEDG <= user_via_pb_out;
+ --LEDG <= user_via_pb_out;
+
+ -- MMBEEB
+ user_via_cb1_in <= user_via_pb_out(1);
+ SD_SCLK <= user_via_pb_out(1); -- SCLK
+ SD_MOSI <= user_via_pb_out(0); -- SDO
+ SD_nCS <= '0'; -- CS
+ user_via_cb2_in <= SD_MISO; -- SDI
+ user_via_pb_in <= user_via_pb_out;
-- ROM select latch
process(clock,reset_n)
@@ -1060,8 +1074,8 @@ begin
-- DEBUG STUFF
-----------------
- GPIO_0(0) <= cpu_irq_n;
- GPIO_0(1) <= keyb_out;
- GPIO_0(2) <= keyb_enable_n;
+ GPIO_0(0) <= user_via_pb_out(1); --clk
+ GPIO_0(1) <= user_via_pb_out(0); --do
+ GPIO_0(2) <= SD_MISO; -- di
end architecture;