aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* 1-Wire. Duplicated code from testhal apllications moved to separate directory.barthess2016-10-1822-1397/+102
|
* USB_MSD. Comment clean up.barthess2016-10-181-3/+0
|
* Merge branch 'master' of github.com:ChibiOS/ChibiOS-Contribbarthess2016-10-181-4/+3
|\
| * Merge pull request #95 from tixiv/onewire-fixUladzimir Pylinski2016-10-181-4/+3
| |\ | | | | | | Set sampling channel PWM output to disabled so the IO pin is free.
| | * set sampling channel PWM output to disabled so the pin can be usedPeter2016-08-281-4/+3
| | | | | | | | | | | | otherwise
* | | 1-Wire. Fixed demos' namebarthess2016-10-184-6/+20
|/ /
* | Updated include guardsbarthess2016-10-1733-87/+87
| |
* | Deleted broken Eclipse debug launchersbarthess2016-10-172-104/+0
| |
* | USB_MSD. Initial commit.barthess2016-10-1723-2/+7633
|/
* Merge branch 'master' of github.com:ChibiOS/ChibiOS-Contribbarthess2016-08-1832-252/+4113
|\
| * Merge pull request #81 from awygle/adcawygle2016-08-1732-252/+4113
| |\ | | | | | | MSP430X ADC12 Support
| | * Adds ADC12 support to MSP430X port.Andrew Wygle2016-06-0531-235/+4070
| | | | | | | | | | | | | | | | | | | | | | | | | | | Adds support for the MSP430X's 12-bit ADC peripheral, as well as reasonably complete testing of same. Also includes fixes for several bugs and cleanup of the DMA peripheral, which used ch calls rather than osal calls and was unclear about what contexts its methods could be called from.
| | * Cleaned up MSP430X port to match recent changes to ChibiOS mainline.Andrew Wygle2016-06-056-20/+46
| | | | | | | | | | | | Also fixed a couple of bugs identified as part of the refresh.
* | | [STM32 NAND] Updated testhal.barthess2016-08-182-22/+86
| | |
* | | [STM32 NAND] Code cleanup.barthess2016-08-182-22/+13
|/ /
* | [STM32 NAND] Deleted ugly hack with EXTI interrupt instead of NAND onebarthess2016-08-169-167/+71
| |
* | [STM32 NAND] Deleted unused defines.barthess2016-08-161-9/+0
| |
* | Merge pull request #94 from marcoveeneman/tiva-improvementsmarcoveeneman2016-08-1134-23/+165
|\ \ | | | | | | Tiva smart build support
| * | Implemented smart build for Tiva platform.marcoveeneman2016-08-0911-23/+145
| | |
| * | Organized Tiva LLD folder.marcoveeneman2016-07-0625-18/+38
| | |
* | | Merge pull request #91 from sdalu/nrf52832Fabio Utzig2016-07-1757-528/+19960
|\ \ \ | | | | | | | | Nrf52832
| * | | PSEL renamed in nrf52Stephane D'Alu2016-07-112-1/+12
| | | |
| * | | moved i2c to LLDStephane D'Alu2016-07-114-20/+40
| | | |
| * | | moved spi to LLDStephane D'Alu2016-07-116-9/+22
| | | |
| * | | changed asm to __asm. (is it right?)Stephane D'Alu2016-07-111-1/+1
| | | |
| * | | deal with write bufferStephane D'Alu2016-07-116-13/+83
| | | |
| * | | moved QEI to LLDStephane D'Alu2016-07-108-8/+25
| | | |
| * | | todoStephane D'Alu2016-07-101-0/+6
| | | |
| * | | removed prototype for undefined function nrf51_clock_initStephane D'Alu2016-07-102-2/+0
| | | |
| * | | moved GPT to LLDStephane D'Alu2016-07-108-17/+40
| | | |
| * | | Use OUT instead of IN to when toggling padStephane D'Alu2016-07-101-1/+1
| | | |
| * | | added missing chconf.hStephane D'Alu2016-07-101-0/+529
| | | |
| * | | shell commands for info and watchdogStephane D'Alu2016-07-101-5/+23
| | | |
| * | | assert that watchdog can't be restartedStephane D'Alu2016-07-101-1/+5
| | | |
| * | | moved wdg to LLDStephane D'Alu2016-07-106-11/+67
| | | |
| * | | moved wdg to LLDStephane D'Alu2016-07-102-296/+0
| | | |
| * | | use anonymous struct, fixed used og wrong vector interruptStephane D'Alu2016-07-102-0/+296
| | | |
| * | | fixed pause behaviour (paused if set to 0 not 1)Stephane D'Alu2016-07-102-10/+29
| | | |
| * | | removed useless field power_on_writeStephane D'Alu2016-07-101-7/+0
| | | |
| * | | added missing config files for nrf52 demoStephane D'Alu2016-07-103-0/+453
| | | |
| * | | moved rng to LLD directory.Stephane D'Alu2016-07-109-48/+84
| | | | | | | | | | | | | | | | | | | | removed rng power control (doesn't exist in nrf52, wasn't documented in nrf51) renamed peripheral to start at 0
| * | | adding note on RTC0 and TIMER0 as systicksStephane D'Alu2016-07-101-0/+5
| | | |
| * | | Use RTC1 as default system ticks as PPI has pre-programmed channels for RTC0Stephane D'Alu2016-07-101-8/+0
| | | |
| * | | renamed NRF51_* to NRF5_*Stephane D'Alu2016-07-1037-410/+423
| | | |
| * | | correct clock speedStephane D'Alu2016-07-101-10/+5
| | | |
| * | | use constantesStephane D'Alu2016-07-101-2/+2
| | | |
| * | | serie -> seriesStephane D'Alu2016-07-105-11/+11
| | | |
| * | | Merge branch 'master' into nrf52832Stephane D'Alu2016-07-090-0/+0
| |\ \ \
| * | | | NRF52832 implementationStephane D'Alu2016-07-0923-42/+17909
| | | | |
| * | | | NRF52 boardStephane D'Alu2016-07-083-0/+294
| | | | |