summaryrefslogtreecommitdiffstats
path: root/sdram.vhd
blob: 6ca472187b90eec5559f653de0b9356eb7d33c3e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity sdram is
port (
	clock_50	:	in	std_logic;	
	DI		:	in	std_logic_vector(6 downto 0);
	fish		:	out 	std_logic;
	);
end entity;

architecture rtl of saa5050 is

begin
	fish <= clock_50;
end architecture;