summaryrefslogtreecommitdiffstats
path: root/sdram.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'sdram.vhd')
-rw-r--r--sdram.vhd17
1 files changed, 17 insertions, 0 deletions
diff --git a/sdram.vhd b/sdram.vhd
new file mode 100644
index 0000000..6ca4721
--- /dev/null
+++ b/sdram.vhd
@@ -0,0 +1,17 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+entity sdram is
+port (
+ clock_50 : in std_logic;
+ DI : in std_logic_vector(6 downto 0);
+ fish : out std_logic;
+ );
+end entity;
+
+architecture rtl of saa5050 is
+
+begin
+ fish <= clock_50;
+end architecture;