summaryrefslogtreecommitdiffstats
path: root/async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-14 15:17:08 +0100
committerJames <james.mckenzie@citrix.com>2013-10-14 15:17:08 +0100
commitdee10e9511e5d21ae1c4c02b6b786850b3013b88 (patch)
tree47d0f7dce19fe8b7d44ce74129beb1a5d79d10fa /async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl
parent72b35b0e4d9f08c4f69d40beae04da1cc8df3c9d (diff)
downloadsdram-dee10e9511e5d21ae1c4c02b6b786850b3013b88.tar.gz
sdram-dee10e9511e5d21ae1c4c02b6b786850b3013b88.tar.bz2
sdram-dee10e9511e5d21ae1c4c02b6b786850b3013b88.zip
fish
Diffstat (limited to 'async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl')
-rw-r--r--async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl7
1 files changed, 3 insertions, 4 deletions
diff --git a/async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl b/async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl
index d4b4688..0b52d75 100644
--- a/async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl
+++ b/async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl
@@ -1,11 +1,11 @@
# TCL File Generated by Component Editor 13.0sp1
-# Mon Oct 14 15:03:03 BST 2013
+# Mon Oct 14 15:16:40 BST 2013
# DO NOT MODIFY
#
# async_8bit_bus_adapter "async_8bit_bus_adapter" v1.0
-# 2013.10.14.15:03:03
+# 2013.10.14.15:16:40
#
#
@@ -133,8 +133,7 @@ set_interface_property eight_bit_bus PORT_NAME_MAP ""
set_interface_property eight_bit_bus SVD_ADDRESS_GROUP ""
add_interface_port eight_bit_bus b_cs_n export Output 1
-add_interface_port eight_bit_bus b_rd_n export Output 1
-add_interface_port eight_bit_bus b_wr_n export Output 1
+add_interface_port eight_bit_bus b_rnw export Output 1
add_interface_port eight_bit_bus b_wait_n export Input 1
add_interface_port eight_bit_bus b_addr export Output 16
add_interface_port eight_bit_bus b_data_in export Input 8