summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorroot <root@lab.panaceas.james.local>2013-10-13 13:34:28 +0100
committerroot <root@lab.panaceas.james.local>2013-10-13 13:34:28 +0100
commit71f3e2cd9b66af7e2fb88c5a40bb74450b54bc05 (patch)
tree942f0962cd974f292f00ca4e2473006581447f27
parentabb7029fae182f1ef86f64af458267a996748e2b (diff)
downloadsdram-71f3e2cd9b66af7e2fb88c5a40bb74450b54bc05.tar.gz
sdram-71f3e2cd9b66af7e2fb88c5a40bb74450b54bc05.tar.bz2
sdram-71f3e2cd9b66af7e2fb88c5a40bb74450b54bc05.zip
fish
-rw-r--r--Makefile19
-rw-r--r--sdram.qsf3
-rw-r--r--sdram_mcu.qsys21
3 files changed, 29 insertions, 14 deletions
diff --git a/Makefile b/Makefile
index e6ee3a2..9808757 100644
--- a/Makefile
+++ b/Makefile
@@ -14,7 +14,11 @@ CPU_NAME=
ELF=src/${PROJ}.elf
SOF=${PROJ}.sof
-default: load_elf.stamp
+default: run
+
+run: load_elf.stamp
+ tools/wrap nios2-terminal
+
#default: load_sof.stamp
@@ -32,12 +36,13 @@ fit.stamp: ans.stamp
tools/wrap quartus_fit --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
touch $@
-ans.stamp: source.stamp
+ans.stamp: ${SOPC_FILE} source.stamp
tools/wrap quartus_map --read_settings_files=on --write_settings_files=off ${PROJ} -c ${PROJ}
touch $@
-${SOPC_FILE}:ans.stamp
-
+${SOPC_FILE}:source.stamp
+ rm -rf ${QSYS}
+ tools/wrap ip-generate --project-directory=. --output-directory=${QSYS}/synthesis --file-set=QUARTUS_SYNTH --report-file=sopcinfo:${SOPC_FILE} --report-file=html:${QSYS}.html --report-file=qip:${QSYS}.qip --report-file=cmp:${QSYS}.cmp --report-file=svd --system-info=DEVICE_FAMILY="Cyclone II" --system-info=DEVICE=EP2C8Q208C8 --system-info=DEVICE_SPEEDGRADE=8 --component-file=${QSYS}.qsys --language=VHDL
source.stamp:${SRC}
touch source.stamp
@@ -93,10 +98,10 @@ flash: load_sof.stamp sof.flash elf.flash ${BSP_DIR}/system.h
tools/wrap nios2-flash-programmer elf.flash --base=$${BASE} --epcs --accept-bad-sysid --device=1 --instance=0 --program --verbose -g
clean:
- /bin/rm -rf ${BSP_DIR} db incremental_db src/obj
- /bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof
+ /bin/rm -rf ${BSP_DIR} db incremental_db src/obj ${QSYS}
+ /bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof *.done *.cmp *.qdi *.bsf
/bin/rm -f src/${PROJ}.objdump src/${PROJ}.map
- /bin/rm -f sopc_builder_log.txt
+ /bin/rm -f sopc_builder_log.txt
diff --git a/sdram.qsf b/sdram.qsf
index 1deea22..ee1d9a9 100644
--- a/sdram.qsf
+++ b/sdram.qsf
@@ -148,4 +148,5 @@ set_global_assignment -name VHDL_FILE sdram.vhd
set_global_assignment -name VHDL_FILE sdram_ctrl.vhd
set_global_assignment -name QSYS_FILE pong_mcu.qsys
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
+
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file
diff --git a/sdram_mcu.qsys b/sdram_mcu.qsys
index 6de6dcb..5f8c178 100644
--- a/sdram_mcu.qsys
+++ b/sdram_mcu.qsys
@@ -113,19 +113,19 @@
type = "String";
}
}
- element onchip_memory2_0.s1
+ element timer_0.s1
{
datum baseAddress
{
- value = "147456";
+ value = "172064";
type = "String";
}
}
- element timer_0.s1
+ element onchip_memory2_0.s1
{
datum baseAddress
{
- value = "172064";
+ value = "147456";
type = "String";
}
}
@@ -152,7 +152,7 @@
<parameter name="projectName" value="sdram.qpf" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="1" />
- <parameter name="timeStamp" value="1381664865183" />
+ <parameter name="timeStamp" value="1381666549691" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface name="clk" internal="clk_0.clk_in" type="clock" dir="end" />
@@ -278,7 +278,7 @@
<parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
- <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x24000' end='0x26EE0' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29000' end='0x29800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='pio_0.s1' start='0x2A050' end='0x2A060' /></address-map>]]></parameter>
+ <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x24000' end='0x26EE0' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29000' end='0x29800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='pio_0.s1' start='0x2A050' end='0x2A060' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x2A060' end='0x2A068' /></address-map>]]></parameter>
<parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x24000' end='0x26EE0' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29000' end='0x29800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='pio_0.s1' start='0x2A050' end='0x2A060' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x2A060' end='0x2A068' /></address-map>]]></parameter>
<parameter name="clockFrequency" value="80000000" />
<parameter name="deviceFamilyName" value="Cyclone II" />
@@ -612,6 +612,15 @@
<parameter name="baseAddress" value="0x00010000" />
<parameter name="defaultConnection" value="false" />
</connection>
+ <connection
+ kind="avalon"
+ version="13.0"
+ start="nios2_qsys_0.instruction_master"
+ end="jtag_uart_0.avalon_jtag_slave">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x0002a060" />
+ <parameter name="defaultConnection" value="false" />
+ </connection>
<interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
<interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="1" />
</system>