summaryrefslogtreecommitdiffstats
path: root/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile19
1 files changed, 12 insertions, 7 deletions
diff --git a/Makefile b/Makefile
index e6ee3a2..9808757 100644
--- a/Makefile
+++ b/Makefile
@@ -14,7 +14,11 @@ CPU_NAME=
ELF=src/${PROJ}.elf
SOF=${PROJ}.sof
-default: load_elf.stamp
+default: run
+
+run: load_elf.stamp
+ tools/wrap nios2-terminal
+
#default: load_sof.stamp
@@ -32,12 +36,13 @@ fit.stamp: ans.stamp
tools/wrap quartus_fit --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
touch $@
-ans.stamp: source.stamp
+ans.stamp: ${SOPC_FILE} source.stamp
tools/wrap quartus_map --read_settings_files=on --write_settings_files=off ${PROJ} -c ${PROJ}
touch $@
-${SOPC_FILE}:ans.stamp
-
+${SOPC_FILE}:source.stamp
+ rm -rf ${QSYS}
+ tools/wrap ip-generate --project-directory=. --output-directory=${QSYS}/synthesis --file-set=QUARTUS_SYNTH --report-file=sopcinfo:${SOPC_FILE} --report-file=html:${QSYS}.html --report-file=qip:${QSYS}.qip --report-file=cmp:${QSYS}.cmp --report-file=svd --system-info=DEVICE_FAMILY="Cyclone II" --system-info=DEVICE=EP2C8Q208C8 --system-info=DEVICE_SPEEDGRADE=8 --component-file=${QSYS}.qsys --language=VHDL
source.stamp:${SRC}
touch source.stamp
@@ -93,10 +98,10 @@ flash: load_sof.stamp sof.flash elf.flash ${BSP_DIR}/system.h
tools/wrap nios2-flash-programmer elf.flash --base=$${BASE} --epcs --accept-bad-sysid --device=1 --instance=0 --program --verbose -g
clean:
- /bin/rm -rf ${BSP_DIR} db incremental_db src/obj
- /bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof
+ /bin/rm -rf ${BSP_DIR} db incremental_db src/obj ${QSYS}
+ /bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof *.done *.cmp *.qdi *.bsf
/bin/rm -f src/${PROJ}.objdump src/${PROJ}.map
- /bin/rm -f sopc_builder_log.txt
+ /bin/rm -f sopc_builder_log.txt