summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorroot <root@artemis.panaceas.org>2013-10-14 19:09:40 +0100
committerroot <root@artemis.panaceas.org>2013-10-14 19:09:40 +0100
commit104aa43eb164408901fed3dbc499be79f24db224 (patch)
tree646d5b3a5147b9baa70060c30e4ef334b4de06be
parent16af4b953306063c2aed52ab2ecde07e0bc6b5e4 (diff)
downloadsdram-104aa43eb164408901fed3dbc499be79f24db224.tar.gz
sdram-104aa43eb164408901fed3dbc499be79f24db224.tar.bz2
sdram-104aa43eb164408901fed3dbc499be79f24db224.zip
mclk => 100
-rw-r--r--sdram.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/sdram.vhd b/sdram.vhd
index f363ea3..c0cb4ef 100644
--- a/sdram.vhd
+++ b/sdram.vhd
@@ -165,7 +165,7 @@ begin
sdram_ctrl0: sdram_ctrl port map (
- clock_100 => clock_50,
+ clock_100 => clock_100,
reset_n => b_reset_n,
bus_cs_n => b_cs_n,