summaryrefslogtreecommitdiffstats
path: root/pong_mcu.qsys
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-13 10:54:15 +0100
committerJames <james.mckenzie@citrix.com>2013-10-13 10:54:15 +0100
commit99614c1b15a1830308053c2fb8403a5c7cca2ed1 (patch)
tree7f79a980c61832b48b67d0ba4eef6cc042683990 /pong_mcu.qsys
parent442bf25dcfdfa327a2210cc1553465c8e50fb074 (diff)
downloadpong-99614c1b15a1830308053c2fb8403a5c7cca2ed1.tar.gz
pong-99614c1b15a1830308053c2fb8403a5c7cca2ed1.tar.bz2
pong-99614c1b15a1830308053c2fb8403a5c7cca2ed1.zip
rename project
Diffstat (limited to 'pong_mcu.qsys')
-rw-r--r--pong_mcu.qsys2
1 files changed, 1 insertions, 1 deletions
diff --git a/pong_mcu.qsys b/pong_mcu.qsys
index 1366ce2..5b860cb 100644
--- a/pong_mcu.qsys
+++ b/pong_mcu.qsys
@@ -170,7 +170,7 @@
<parameter name="globalResetBus" value="false" />
<parameter name="hdlLanguage" value="VERILOG" />
<parameter name="maxAdditionalLatency" value="1" />
- <parameter name="projectName" value="pong3.qpf" />
+ <parameter name="projectName" value="pong.qpf" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="1" />
<parameter name="timeStamp" value="1381581508634" />