summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-13 10:54:15 +0100
committerJames <james.mckenzie@citrix.com>2013-10-13 10:54:15 +0100
commit99614c1b15a1830308053c2fb8403a5c7cca2ed1 (patch)
tree7f79a980c61832b48b67d0ba4eef6cc042683990
parent442bf25dcfdfa327a2210cc1553465c8e50fb074 (diff)
downloadpong-99614c1b15a1830308053c2fb8403a5c7cca2ed1.tar.gz
pong-99614c1b15a1830308053c2fb8403a5c7cca2ed1.tar.bz2
pong-99614c1b15a1830308053c2fb8403a5c7cca2ed1.zip
rename project
-rw-r--r--.gitignore8
-rw-r--r--Makefile10
-rw-r--r--pong.qpf (renamed from pong3.qpf)2
-rw-r--r--pong.qsf (renamed from pong3.qsf)8
-rw-r--r--pong.v (renamed from pong3.v)2
-rw-r--r--pong_mcu.qsys2
6 files changed, 16 insertions, 16 deletions
diff --git a/.gitignore b/.gitignore
index 625c8e6..dfe3217 100644
--- a/.gitignore
+++ b/.gitignore
@@ -10,12 +10,12 @@ incremental_db
*.jdi
*.map.summary
*.sta.summary
-my_sys.sopcinfo
+*.sopcinfo
script/
*~
-src/pong3.elf
-src/pong3.objdump
-src/pong3.map
+src/*.elf
+src/*.objdump
+src/*.map
bsp
*.swp
elf.flash
diff --git a/Makefile b/Makefile
index 2933d51..61d6b04 100644
--- a/Makefile
+++ b/Makefile
@@ -1,4 +1,4 @@
-PROJ=pong3
+PROJ=pong
SRCS=$(wildcard *.vhd *.v *.qsf *.qpf )
SRCS += $(shell find DM9000A -type f -print )
@@ -18,17 +18,17 @@ SOF=${PROJ}.sof
default: load_elf.stamp
sta.stamp:asm.stamp
- tools/wrap quartus_sta pong3 -c pong3
+ tools/wrap quartus_sta ${PROJ} -c ${PROJ}
touch $@
asm.stamp:fit.stamp
- tools/wrap quartus_asm --read_settings_files=off --write_settings_files=off pong3 -c pong3
+ tools/wrap quartus_asm --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
touch $@
${SOF}:asm.stamp
fit.stamp: ans.stamp
- tools/wrap quartus_fit --read_settings_files=off --write_settings_files=off pong3 -c pong3
+ tools/wrap quartus_fit --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
touch $@
ans.stamp: source.stamp
@@ -81,7 +81,7 @@ flash: load_sof.stamp sof.flash elf.flash ${BSP_DIR}/system.h
clean:
/bin/rm -rf ${BSP_DIR} db incremental_db src/obj
- /bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws
+ /bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof
/bin/rm -f src/${PROJ}.objdump src/${PROJ}.map
/bin/rm -f sopc_builder_log.txt
diff --git a/pong3.qpf b/pong.qpf
index f9a4fa2..9c8980b 100644
--- a/pong3.qpf
+++ b/pong.qpf
@@ -27,4 +27,4 @@ DATE = "21:48:38 September 12, 2013"
# Revisions
-PROJECT_REVISION = "pong3"
+PROJECT_REVISION = "pong"
diff --git a/pong3.qsf b/pong.qsf
index e8af12b..b88b0ca 100644
--- a/pong3.qsf
+++ b/pong.qsf
@@ -25,7 +25,7 @@
# Notes:
#
# 1) The default values for assignments are stored in the file:
-# pong3.qdf
+# pong.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
@@ -38,7 +38,7 @@
set_global_assignment -name FAMILY "Cyclone II"
set_global_assignment -name DEVICE EP2C8Q208C8
-set_global_assignment -name TOP_LEVEL_ENTITY pong3
+set_global_assignment -name TOP_LEVEL_ENTITY pong
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 12.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:48:38 SEPTEMBER 12, 2013"
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
@@ -145,8 +145,8 @@ set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name VERILOG_FILE DM9000A/hdl/DM9000A_IF.v
set_global_assignment -name VERILOG_FILE GPU/hdl/GPU_IF.v
-set_global_assignment -name PIN_FILE pong3.pin
-set_global_assignment -name VERILOG_FILE pong3.v
+set_global_assignment -name PIN_FILE pong.pin
+set_global_assignment -name VERILOG_FILE pong.v
set_global_assignment -name QSYS_FILE pong_mcu.qsys
diff --git a/pong3.v b/pong.v
index 1015b64..fa6ee91 100644
--- a/pong3.v
+++ b/pong.v
@@ -1,4 +1,4 @@
-module pong3(
+module pong(
clk,
rst_n,
diff --git a/pong_mcu.qsys b/pong_mcu.qsys
index 1366ce2..5b860cb 100644
--- a/pong_mcu.qsys
+++ b/pong_mcu.qsys
@@ -170,7 +170,7 @@
<parameter name="globalResetBus" value="false" />
<parameter name="hdlLanguage" value="VERILOG" />
<parameter name="maxAdditionalLatency" value="1" />
- <parameter name="projectName" value="pong3.qpf" />
+ <parameter name="projectName" value="pong.qpf" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="1" />
<parameter name="timeStamp" value="1381581508634" />