summaryrefslogtreecommitdiffstats
path: root/Makefile
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-13 10:54:15 +0100
committerJames <james.mckenzie@citrix.com>2013-10-13 10:54:15 +0100
commit99614c1b15a1830308053c2fb8403a5c7cca2ed1 (patch)
tree7f79a980c61832b48b67d0ba4eef6cc042683990 /Makefile
parent442bf25dcfdfa327a2210cc1553465c8e50fb074 (diff)
downloadpong-99614c1b15a1830308053c2fb8403a5c7cca2ed1.tar.gz
pong-99614c1b15a1830308053c2fb8403a5c7cca2ed1.tar.bz2
pong-99614c1b15a1830308053c2fb8403a5c7cca2ed1.zip
rename project
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile10
1 files changed, 5 insertions, 5 deletions
diff --git a/Makefile b/Makefile
index 2933d51..61d6b04 100644
--- a/Makefile
+++ b/Makefile
@@ -1,4 +1,4 @@
-PROJ=pong3
+PROJ=pong
SRCS=$(wildcard *.vhd *.v *.qsf *.qpf )
SRCS += $(shell find DM9000A -type f -print )
@@ -18,17 +18,17 @@ SOF=${PROJ}.sof
default: load_elf.stamp
sta.stamp:asm.stamp
- tools/wrap quartus_sta pong3 -c pong3
+ tools/wrap quartus_sta ${PROJ} -c ${PROJ}
touch $@
asm.stamp:fit.stamp
- tools/wrap quartus_asm --read_settings_files=off --write_settings_files=off pong3 -c pong3
+ tools/wrap quartus_asm --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
touch $@
${SOF}:asm.stamp
fit.stamp: ans.stamp
- tools/wrap quartus_fit --read_settings_files=off --write_settings_files=off pong3 -c pong3
+ tools/wrap quartus_fit --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
touch $@
ans.stamp: source.stamp
@@ -81,7 +81,7 @@ flash: load_sof.stamp sof.flash elf.flash ${BSP_DIR}/system.h
clean:
/bin/rm -rf ${BSP_DIR} db incremental_db src/obj
- /bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws
+ /bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof
/bin/rm -f src/${PROJ}.objdump src/${PROJ}.map
/bin/rm -f sopc_builder_log.txt