summaryrefslogtreecommitdiffstats
path: root/quartus/vidproc.vhd
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-18 12:17:17 +0100
committerJames <james.mckenzie@citrix.com>2013-10-18 12:17:17 +0100
commit3c24b4b41abf56cfd3cdcd998b77514d9ba55a6c (patch)
tree80e482555b70b57e701d603aa352b4ecbd3206c1 /quartus/vidproc.vhd
parent2deecc29d2b390a23eeebc54b1db28326b9bcd4e (diff)
downloadbbc_de1-3c24b4b41abf56cfd3cdcd998b77514d9ba55a6c.tar.gz
bbc_de1-3c24b4b41abf56cfd3cdcd998b77514d9ba55a6c.tar.bz2
bbc_de1-3c24b4b41abf56cfd3cdcd998b77514d9ba55a6c.zip
revert fixes
Diffstat (limited to 'quartus/vidproc.vhd')
-rw-r--r--quartus/vidproc.vhd8
1 files changed, 4 insertions, 4 deletions
diff --git a/quartus/vidproc.vhd b/quartus/vidproc.vhd
index 25db750..6d5f91f 100644
--- a/quartus/vidproc.vhd
+++ b/quartus/vidproc.vhd
@@ -165,7 +165,7 @@ begin
clken_fetch <= CLKEN and not (clken_counter(0) or clken_counter(1) or clken_counter(2) or
(clken_counter(3) and not r0_crtc_2mhz));
- process(CLOCK,nRESET,CLKEN)
+ process(CLOCK,nRESET)
begin
if nRESET = '0' then
clken_counter <= (others => '0');
@@ -176,7 +176,7 @@ begin
end process;
-- Fetch control
- process(CLOCK,nRESET,clken_pixel)
+ process(CLOCK,nRESET)
begin
if nRESET = '0' then
shiftreg <= (others => '0');
@@ -197,7 +197,7 @@ begin
((r0_cursor0 and not (cursor_counter(0) or cursor_counter(1))) or
(r0_cursor1 and cursor_counter(0) and not cursor_counter(1)) or
(r0_cursor2 and cursor_counter(1)));
- process(CLOCK,nRESET,clken_fetch)
+ process(CLOCK,nRESET)
begin
if nRESET = '0' then
cursor_active <= '0';
@@ -231,7 +231,7 @@ begin
-- the pixel rate we ensure that the resulting delay is minimal and
-- constant (running this at the pixel rate would cause
-- the display to move slightly depending on which mode was selected).
- process(CLOCK,nRESET,CLKEN)
+ process(CLOCK,nRESET)
variable palette_a : std_logic_vector(3 downto 0);
variable dot_val : std_logic_vector(3 downto 0);
variable red_val : std_logic;