From 3c24b4b41abf56cfd3cdcd998b77514d9ba55a6c Mon Sep 17 00:00:00 2001 From: James Date: Fri, 18 Oct 2013 12:17:17 +0100 Subject: revert fixes --- quartus/vidproc.vhd | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) (limited to 'quartus/vidproc.vhd') diff --git a/quartus/vidproc.vhd b/quartus/vidproc.vhd index 25db750..6d5f91f 100644 --- a/quartus/vidproc.vhd +++ b/quartus/vidproc.vhd @@ -165,7 +165,7 @@ begin clken_fetch <= CLKEN and not (clken_counter(0) or clken_counter(1) or clken_counter(2) or (clken_counter(3) and not r0_crtc_2mhz)); - process(CLOCK,nRESET,CLKEN) + process(CLOCK,nRESET) begin if nRESET = '0' then clken_counter <= (others => '0'); @@ -176,7 +176,7 @@ begin end process; -- Fetch control - process(CLOCK,nRESET,clken_pixel) + process(CLOCK,nRESET) begin if nRESET = '0' then shiftreg <= (others => '0'); @@ -197,7 +197,7 @@ begin ((r0_cursor0 and not (cursor_counter(0) or cursor_counter(1))) or (r0_cursor1 and cursor_counter(0) and not cursor_counter(1)) or (r0_cursor2 and cursor_counter(1))); - process(CLOCK,nRESET,clken_fetch) + process(CLOCK,nRESET) begin if nRESET = '0' then cursor_active <= '0'; @@ -231,7 +231,7 @@ begin -- the pixel rate we ensure that the resulting delay is minimal and -- constant (running this at the pixel rate would cause -- the display to move slightly depending on which mode was selected). - process(CLOCK,nRESET,CLKEN) + process(CLOCK,nRESET) variable palette_a : std_logic_vector(3 downto 0); variable dot_val : std_logic_vector(3 downto 0); variable red_val : std_logic; -- cgit v1.2.3