summaryrefslogtreecommitdiffstats
path: root/quartus/bbc_micro_de1.vhd
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-18 12:19:09 +0100
committerJames <james.mckenzie@citrix.com>2013-10-18 12:19:09 +0100
commitd8b2c4c49c778a36d306ee02b7fefe53a4cbb32c (patch)
tree3d962748e9d7bea2e10c978ce833091ece6168dc /quartus/bbc_micro_de1.vhd
parent3c24b4b41abf56cfd3cdcd998b77514d9ba55a6c (diff)
downloadbbc_de1-d8b2c4c49c778a36d306ee02b7fefe53a4cbb32c.tar.gz
bbc_de1-d8b2c4c49c778a36d306ee02b7fefe53a4cbb32c.tar.bz2
bbc_de1-d8b2c4c49c778a36d306ee02b7fefe53a4cbb32c.zip
Revert "revert fixes"
This reverts commit 3c24b4b41abf56cfd3cdcd998b77514d9ba55a6c.
Diffstat (limited to 'quartus/bbc_micro_de1.vhd')
-rw-r--r--quartus/bbc_micro_de1.vhd6
1 files changed, 3 insertions, 3 deletions
diff --git a/quartus/bbc_micro_de1.vhd b/quartus/bbc_micro_de1.vhd
index d78fe69..080b390 100644
--- a/quartus/bbc_micro_de1.vhd
+++ b/quartus/bbc_micro_de1.vhd
@@ -987,7 +987,7 @@ begin
end if;
end process;
- cycle_stretch: process(clock,reset_n)
+ cycle_stretch: process(clock,reset_n,mhz2_clken)
begin
if reset_n = '0' then
cpu_cycle_mask <= '0';
@@ -1145,7 +1145,7 @@ begin
SRAM_DQ(15 downto 8) <= (others => '0');
-- Synchronous outputs to SRAM
- process(clock,reset_n)
+ process(clock,reset_n,ram_enable,cpu_r_nw)
variable ram_write : std_logic;
begin
ram_write := ram_enable and not cpu_r_nw;
@@ -1284,7 +1284,7 @@ begin
caps_lock_led_n <= ic32(6);
shift_lock_led_n <= ic32(7);
- process(clock,reset_n)
+ process(clock,reset_n,sys_via_pb_out)
variable bit_num : integer;
begin
bit_num := to_integer(unsigned(sys_via_pb_out(2 downto 0)));