summaryrefslogtreecommitdiffstats
path: root/quartus/bbc_micro_de1.vhd
diff options
context:
space:
mode:
authorroot <root@lab.panaceas.james.local>2013-10-18 11:18:51 +0100
committerroot <root@lab.panaceas.james.local>2013-10-18 11:18:51 +0100
commit91a541897b9e66b107a1017d5cadcc89ce1e7f84 (patch)
treead5e26840b3674c33b67d15b419ade7b3fe6b8f0 /quartus/bbc_micro_de1.vhd
parent5fe74b3778ec4f58f628a6f140af1e9fa9a08eac (diff)
downloadbbc_de1-91a541897b9e66b107a1017d5cadcc89ce1e7f84.tar.gz
bbc_de1-91a541897b9e66b107a1017d5cadcc89ce1e7f84.tar.bz2
bbc_de1-91a541897b9e66b107a1017d5cadcc89ce1e7f84.zip
add process sensitivity
Diffstat (limited to 'quartus/bbc_micro_de1.vhd')
-rw-r--r--quartus/bbc_micro_de1.vhd6
1 files changed, 3 insertions, 3 deletions
diff --git a/quartus/bbc_micro_de1.vhd b/quartus/bbc_micro_de1.vhd
index d78fe69..080b390 100644
--- a/quartus/bbc_micro_de1.vhd
+++ b/quartus/bbc_micro_de1.vhd
@@ -987,7 +987,7 @@ begin
end if;
end process;
- cycle_stretch: process(clock,reset_n)
+ cycle_stretch: process(clock,reset_n,mhz2_clken)
begin
if reset_n = '0' then
cpu_cycle_mask <= '0';
@@ -1145,7 +1145,7 @@ begin
SRAM_DQ(15 downto 8) <= (others => '0');
-- Synchronous outputs to SRAM
- process(clock,reset_n)
+ process(clock,reset_n,ram_enable,cpu_r_nw)
variable ram_write : std_logic;
begin
ram_write := ram_enable and not cpu_r_nw;
@@ -1284,7 +1284,7 @@ begin
caps_lock_led_n <= ic32(6);
shift_lock_led_n <= ic32(7);
- process(clock,reset_n)
+ process(clock,reset_n,sys_via_pb_out)
variable bit_num : integer;
begin
bit_num := to_integer(unsigned(sys_via_pb_out(2 downto 0)));