summaryrefslogtreecommitdiffstats
path: root/quartus/bbc_micro_de1.qsf
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-18 12:15:55 +0100
committerJames <james.mckenzie@citrix.com>2013-10-18 12:15:55 +0100
commit2deecc29d2b390a23eeebc54b1db28326b9bcd4e (patch)
tree3d962748e9d7bea2e10c978ce833091ece6168dc /quartus/bbc_micro_de1.qsf
parent91a541897b9e66b107a1017d5cadcc89ce1e7f84 (diff)
downloadbbc_de1-2deecc29d2b390a23eeebc54b1db28326b9bcd4e.tar.gz
bbc_de1-2deecc29d2b390a23eeebc54b1db28326b9bcd4e.tar.bz2
bbc_de1-2deecc29d2b390a23eeebc54b1db28326b9bcd4e.zip
quartus-9.1
Diffstat (limited to 'quartus/bbc_micro_de1.qsf')
-rw-r--r--quartus/bbc_micro_de1.qsf4
1 files changed, 2 insertions, 2 deletions
diff --git a/quartus/bbc_micro_de1.qsf b/quartus/bbc_micro_de1.qsf
index 53ab492..1f4e73f 100644
--- a/quartus/bbc_micro_de1.qsf
+++ b/quartus/bbc_micro_de1.qsf
@@ -534,5 +534,5 @@ set_global_assignment -name VHDL_FILE m6522_tb.vhd
set_global_assignment -name VHDL_FILE keyboard.vhd
set_global_assignment -name VHDL_FILE debugger.vhd
set_global_assignment -name QIP_FILE saa5050_rom.qip
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
-set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top \ No newline at end of file
+set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file