From 2deecc29d2b390a23eeebc54b1db28326b9bcd4e Mon Sep 17 00:00:00 2001 From: James Date: Fri, 18 Oct 2013 12:15:55 +0100 Subject: quartus-9.1 --- quartus/bbc_micro_de1.qsf | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'quartus/bbc_micro_de1.qsf') diff --git a/quartus/bbc_micro_de1.qsf b/quartus/bbc_micro_de1.qsf index 53ab492..1f4e73f 100644 --- a/quartus/bbc_micro_de1.qsf +++ b/quartus/bbc_micro_de1.qsf @@ -534,5 +534,5 @@ set_global_assignment -name VHDL_FILE m6522_tb.vhd set_global_assignment -name VHDL_FILE keyboard.vhd set_global_assignment -name VHDL_FILE debugger.vhd set_global_assignment -name QIP_FILE saa5050_rom.qip -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top \ No newline at end of file +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file -- cgit v1.2.3