From b2e8ee8647dc134663888e092b670cb366614a95 Mon Sep 17 00:00:00 2001 From: James Date: Fri, 18 Oct 2013 12:28:25 +0100 Subject: fish --- quartus/bbc_micro_de1.vhd | 2 ++ 1 file changed, 2 insertions(+) diff --git a/quartus/bbc_micro_de1.vhd b/quartus/bbc_micro_de1.vhd index 028ec7e..4beca04 100644 --- a/quartus/bbc_micro_de1.vhd +++ b/quartus/bbc_micro_de1.vhd @@ -744,6 +744,8 @@ signal romsel : std_logic_vector(3 downto 0); signal mhz1_enable : std_logic; -- Set for access to any 1 MHz peripheral +signal clock_32 : std_logic; + begin ------------------------- -- COMPONENT INSTANCES -- cgit v1.2.3