aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/dynamic_part_select/latch_1990_gate.v
blob: a46183f23fa81a492c73c03cc4880e3d5113e312 (plain)
1
2
3
4
5
6
`default_nettype none
module latch_1990_gate
  (output wire [1:0] x);
   assign x = 2'b10;
endmodule // latch_1990_gate