aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/dynamic_part_select/latch_002.v
blob: 7617d6a7287bbafed2317153c6121f92bfaec6fa (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
`default_nettype none
module latch_002
  (dword, sel, st, vect);
   output reg [63:0] dword;
   input wire [7:0]  vect;
   input wire [7:0]  sel;
   input wire        st;
   
   always @(*) begin
      if (st)
	dword[8*sel +:8] <= vect[7:0];
   end
endmodule // latch_002