aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple_abc9/abc9.v
blob: 2d9aea366882eada39c57ca2ade374199aa12593 (plain)
1
2
3
4
5
6
module top(input [1:0] a, output [1:0] b, output c, output d, output e);
assign b = a;
assign c = ^a;
assign d = ~c;
assign e = d;
endmodule