aboutsummaryrefslogtreecommitdiffstats
path: root/tests/opt/ice40_carry.v
blob: ed938932a06221ce65f937f7f3257fa309da43f2 (plain)
1
2
3
module SB_CARRY (output CO, input I0, I1, CI);
    assign CO = (I0 && I1) || ((I0 || I1) && CI);
endmodule