| Commit message (Collapse) | Author | Age | Files | Lines | ||
|---|---|---|---|---|---|---|
| ... | ||||||
| * | kernel: require \B_SIGNED=0 on $shl, $sshl, $shr, $sshr. | whitequark | 2019-12-04 | 1 | -5/+5 | |
| | | | | | | | | Before this commit, these cells would accept any \B_SIGNED and in case of \B_SIGNED=1, would still treat the \B input as unsigned. Also fix the Verilog frontend to never emit such constructs. | |||||
| * | sv: Correct parsing of always_comb, always_ff and always_latch | David Shah | 2019-11-21 | 2 | -5/+40 | |
| | | | | | Signed-off-by: David Shah <dave@ds0.me> | |||||
| * | Add check for valid macro names in macro definitions | Clifford Wolf | 2019-11-07 | 1 | -7/+11 | |
| | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | Add "verilog_defines -list" and "verilog_defines -reset" | Clifford Wolf | 2019-10-21 | 1 | -0/+16 | |
| | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | Use "(id)" instead of "id" for types as temporary hack | Clifford Wolf | 2019-10-14 | 1 | -11/+69 | |
| |\ | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| | * | sv: Disambiguate interface ports | David Shah | 2019-10-03 | 1 | -3/+19 | |
| | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me> | |||||
| | * | sv: Fix memories of typedefs | David Shah | 2019-10-03 | 1 | -1/+1 | |
| | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me> | |||||
| | * | sv: Add %expect | David Shah | 2019-10-03 | 1 | -0/+1 | |
| | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me> | |||||
| | * | sv: Add support for memory typedefs | David Shah | 2019-10-03 | 1 | -1/+19 | |
| | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me> | |||||
| | * | sv: Fix typedef parameters | David Shah | 2019-10-03 | 1 | -4/+17 | |
| | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me> | |||||
| | * | sv: Switch parser to glr, prep for typedef | David Shah | 2019-10-03 | 1 | -4/+34 | |
| |/ | | | | Signed-off-by: David Shah <dave@ds0.me> | |||||
| * | Fix handling of z_digit "?" and fix optimization of cmp with "z" | Clifford Wolf | 2019-09-13 | 1 | -5/+1 | |
| | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | Fix lexing of integer literals without radix | Clifford Wolf | 2019-09-13 | 1 | -1/+1 | |
| | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | Fix lexing of integer literals, fixes #1364 | Clifford Wolf | 2019-09-12 | 2 | -3/+3 | |
| | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | substr() -> compare() | Eddie Hung | 2019-08-07 | 1 | -4/+4 | |
| | | ||||||
| * | RTLIL::S{0,1} -> State::S{0,1} | Eddie Hung | 2019-08-07 | 1 | -12/+12 | |
| | | ||||||
| * | verilog_lexer: Increase YY_BUF_SIZE to 65536 | David Shah | 2019-07-26 | 1 | -0/+3 | |
| | | | | | Signed-off-by: David Shah <dave@ds0.me> | |||||
| * | Merge pull request #1147 from YosysHQ/clifford/fix1144 | Clifford Wolf | 2019-07-03 | 1 | -81/+14 | |
| |\ | | | | | Improve specify dummy parser | |||||
| | * | Some cleanups in "ignore specify parser" | Clifford Wolf | 2019-07-03 | 1 | -79/+5 | |
| | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| | * | Improve specify dummy parser, fixes #1144 | Clifford Wolf | 2019-06-28 | 1 | -2/+9 | |
| | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | | Fix read_verilog assert/assume/etc on default case label, fixes ↵ | Clifford Wolf | 2019-07-02 | 1 | -0/+2 | |
| |/ | | | | | | YosysHQ/SymbiYosys#53 Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | Fix segfault on failed VERILOG_FRONTEND::const2ast, closes #1131 | Clifford Wolf | 2019-06-26 | 1 | -1/+1 | |
| | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | Merge pull request #1119 from YosysHQ/eddie/fix1118 | Clifford Wolf | 2019-06-21 | 1 | -0/+1 | |
| |\ | | | | | Make genvar a signed type | |||||
| | * | Make genvar a signed type | Eddie Hung | 2019-06-20 | 1 | -0/+1 | |
| | | | ||||||
| * | | Maintain "is_unsized" state of constants | Eddie Hung | 2019-06-20 | 1 | -6/+6 | |
| |/ | ||||||
| * | Merge branch 'unpacked_arrays' of https://github.com/towoe/yosys-sv into ↵ | Clifford Wolf | 2019-06-20 | 1 | -1/+7 | |
| |\ | | | | | | | towoe-unpacked_arrays | |||||
| | * | Unpacked array declaration using size | Tobias Wölfel | 2019-06-19 | 1 | -1/+7 | |
| | | | | | | | | | | | | | | | | | Allows fixed-sized array dimension specified by a single number. This commit is based on the work from PeterCrozier https://github.com/YosysHQ/yosys/pull/560. But is split out of the original work. | |||||
| * | | Add "read_verilog -pwires" feature, closes #1106 | Clifford Wolf | 2019-06-19 | 2 | -3/+15 | |
| | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | | Add defaultvalue attribute | Clifford Wolf | 2019-06-19 | 1 | -0/+11 | |
| | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | | Fix handling of "logic" variables with initial value | Clifford Wolf | 2019-06-19 | 1 | -2/+2 | |
| | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | | Fixed brojen $error()/$info/$warning() on non-generate blocks | Udi Finkelstein | 2019-06-11 | 2 | -3/+13 | |
| |/ | | | | (within always/initial blocks) | |||||
| * | Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into ↵ | Clifford Wolf | 2019-06-07 | 2 | -1/+15 | |
| |\ | | | | | | | clifford/pr983 | |||||
| | * | Initial implementation of elaboration system tasks | Udi Finkelstein | 2019-05-03 | 2 | -1/+15 | |
| | | | | | | | | | | | | | | | (IEEE1800-2017 section 20.11) This PR allows us to use $info/$warning/$error/$fatal **at elaboration time** within a generate block. This is very useful to stop a synthesis of a parametrized block when an illegal combination of parameters is chosen. | |||||
| * | | Cleanup tux3-implicit_named_connection | Clifford Wolf | 2019-06-07 | 1 | -1/+1 | |
| | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | | Merge branch 'implicit_named_connection' of https://github.com/tux3/yosys ↵ | Clifford Wolf | 2019-06-07 | 1 | -1/+10 | |
| |\ \ | | | | | | | | | | into tux3-implicit_named_connection | |||||
| | * | | SystemVerilog support for implicit named port connections | tux3 | 2019-06-06 | 1 | -9/+17 | |
| | | | | | | | | | | | | | | | | This is the `foo foo(.port1, .port2);` SystemVerilog syntax introduced in IEEE1800-2005. | |||||
| * | | | Fixed memory leak. | Maciej Kurc | 2019-06-05 | 1 | -0/+4 | |
| | | | | | | | | | | | | | Signed-off-by: Maciej Kurc <mkurc@antmicro.com> | |||||
| * | | | Added support for parsing attributes on port connections. | Maciej Kurc | 2019-05-31 | 1 | -10/+10 | |
| |/ / | | | | | | | Signed-off-by: Maciej Kurc <mkurc@antmicro.com> | |||||
| * | | Merge branch 'master' into wandwor | Stefan Biereigel | 2019-05-27 | 2 | -9/+19 | |
| |\ \ | ||||||
| | * | | Added support for unsized constants, fixes #1022 | Miodrag Milanovic | 2019-05-27 | 2 | -9/+19 | |
| | | | | | | | | | | | | | Includes work from @sumit0190 and @AaronKel | |||||
| * | | | fix indentation across files | Stefan Biereigel | 2019-05-23 | 1 | -2/+2 | |
| | | | | ||||||
| * | | | make lexer/parser aware of wand/wor net types | Stefan Biereigel | 2019-05-23 | 2 | -1/+9 | |
| |/ / | ||||||
| * | | Read bigger Verilog files. | Kaj Tuomi | 2019-05-18 | 1 | -1/+1 | |
| | | | | | | | | | Hit parser limit with 3M gate design. This commit fix it. | |||||
| * | | Merge pull request #1013 from antmicro/parameter_attributes | Clifford Wolf | 2019-05-16 | 1 | -2/+2 | |
| |\ \ | | | | | | | Support for attributes on parameters and localparams for Verilog frontend | |||||
| | * | | Added support for parsing attributes on parameters in Verilog frontent. ↵ | Maciej Kurc | 2019-05-16 | 1 | -2/+2 | |
| | | | | | | | | | | | | | | | | | | | Content of those attributes is ignored. Signed-off-by: Maciej Kurc <mkurc@antmicro.com> | |||||
| * | | | Make the generated *.tab.hh include all the headers needed to define the union. | Henner Zeller | 2019-05-14 | 1 | -1/+9 | |
| |/ / | ||||||
| * | | Merge pull request #946 from YosysHQ/clifford/specify | Clifford Wolf | 2019-05-06 | 4 | -33/+328 | |
| |\ \ | | | | | | | Add specify parser | |||||
| | * \ | Merge branch 'master' of github.com:YosysHQ/yosys into clifford/specify | Clifford Wolf | 2019-05-06 | 2 | -2/+10 | |
| | |\ \ | ||||||
| | * \ \ | Merge remote-tracking branch 'origin/master' into clifford/specify | Eddie Hung | 2019-05-03 | 1 | -2/+2 | |
| | |\ \ \ | | | |/ | | |/| | ||||||
| | * | | | Improve $specrule interface | Clifford Wolf | 2019-04-23 | 2 | -9/+19 | |
| | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
