aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* added sat -falsifyClifford Wolf2014-02-041-4/+28
|
* Fixed bug in sequential sat proofs and improved handling of assertsClifford Wolf2014-02-043-9/+45
|
* Improved handling of reg init in opt_share and opt_rmdffClifford Wolf2014-02-042-7/+48
|
* presentation progressClifford Wolf2014-02-042-11/+55
|
* presentation progressClifford Wolf2014-02-036-1/+152
|
* Addred sat option -ignore_unknown_cellsClifford Wolf2014-02-031-3/+17
|
* Added TRANSPARENT parameter to $memrd (and RD_TRANSPARENT to $mem)Clifford Wolf2014-02-0311-78/+186
|
* Replaced isim with xsim in tests/tools/autotest.sh, removed xst supportClifford Wolf2014-02-031-50/+10
|
* More opt_const -mux_bool featuresClifford Wolf2014-02-021-7/+46
|
* presentation progressClifford Wolf2014-02-0219-40/+194
|
* Added opt_const -mux_boolClifford Wolf2014-02-022-7/+47
|
* Added support for inverter chains to opt_constClifford Wolf2014-02-021-1/+21
|
* Added RTLIL::SigSpec::to_single_sigbit()Clifford Wolf2014-02-022-0/+10
|
* Only generate write-enable $and if WE is not constant 1 in memory_mapClifford Wolf2014-02-021-15/+18
|
* Added constant-clock case to opt_rmdffClifford Wolf2014-02-021-0/+8
|
* presentation progressClifford Wolf2014-02-0210-0/+80
|
* Added show -notitle optionClifford Wolf2014-02-021-4/+14
|
* Added delete commandClifford Wolf2014-02-022-0/+135
|
* Added suuport for module attribute matching with A:<pattern>[=<pattern>] syntaxClifford Wolf2014-02-021-5/+22
|
* presentation progressClifford Wolf2014-02-022-10/+20
|
* presentation progressClifford Wolf2014-02-021-10/+158
|
* Added support for blanks after -I and -D in read_verilogClifford Wolf2014-02-021-7/+20
|
* Fixed a bug in miter commandClifford Wolf2014-02-011-2/+2
|
* Added sat -show-inputs and -show-outputsClifford Wolf2014-02-011-1/+24
|
* Added show -color support for cells and finished show -label implementationClifford Wolf2014-02-011-13/+36
|
* Fixed comment/eol parsing in ilang frontendClifford Wolf2014-02-012-22/+25
|
* Added constant size expression support of sized constantsClifford Wolf2014-02-016-0/+48
|
* Added note about SystemVerilog assert statement to READMEClifford Wolf2014-02-011-0/+5
|
* Added miter commandClifford Wolf2014-02-012-0/+307
|
* Progress on presentationClifford Wolf2014-01-313-5/+194
|
* More changes to techlibs/common/simlib.v for LECClifford Wolf2014-01-311-6/+11
|
* presentation progressClifford Wolf2014-01-302-7/+157
|
* Bugfix in name resolution with generate blocksClifford Wolf2014-01-302-1/+25
|
* Added yosys -H for command listClifford Wolf2014-01-301-1/+7
|
* presentation progressClifford Wolf2014-01-292-4/+36
|
* presentation progressClifford Wolf2014-01-2910-2/+174
|
* Tiny change in example script in READMEClifford Wolf2014-01-291-1/+1
|
* Added -h command line optionClifford Wolf2014-01-291-2/+8
|
* Added test comments to techlibs/cmos/cmos_cells.libClifford Wolf2014-01-291-0/+2
|
* Updated ABC to hg rev e6b09e1Clifford Wolf2014-01-291-1/+1
|
* Added read_verilog -icells optionClifford Wolf2014-01-294-6/+20
|
* Major rewrite of techlibs/common/simlib.v for LEC (cadance conformal)Clifford Wolf2014-01-291-105/+305
|
* presentation progressClifford Wolf2014-01-282-4/+237
|
* Renamed manual/FILES_* directoriesClifford Wolf2014-01-2829-9/+9
|
* Progress on presentationClifford Wolf2014-01-282-8/+69
|
* Progress on presentationClifford Wolf2014-01-272-5/+79
|
* Added first presentation slidesClifford Wolf2014-01-277-1/+105
|
* Merge branch 'btor' of https://github.com/ahmedirfan1983/yosysClifford Wolf2014-01-261-1/+5
|\
| * root bug correctedAhmed Irfan2014-01-251-1/+5
| |
* | Merge pull request #21 from hansiglaser/masterClifford Wolf2014-01-252-17/+34
|\ \ | | | | | | beautified write_intersynth, enabled multiple "-map" for the extract pass