aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* Improve determinism of IdString DB for similar scriptsClifford Wolf2019-03-115-5/+71
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add ENABLE_GLOB Makefile switchClifford Wolf2019-03-112-3/+10
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix typo in ice40_braminit help msgClifford Wolf2019-03-091-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #859 from smunaut/ice40_braminitClifford Wolf2019-03-094-37/+212
|\ | | | | iCE40 BRAM primitives init from file
| * ice40: Run ice40_braminit pass by defaultSylvain Munaut2019-03-081-0/+1
| | | | | | | | Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
| * ice40: Add ice40_braminit pass to allow initialization of BRAM from fileSylvain Munaut2019-03-083-37/+211
| | | | | | | | | | | | | | | | This adds a INIT_FILE attribute to the SB_RAM40_4K blocks that will initialize content from a hex file. Same behavior is imlemented in the simulation model and in a new pass for actual synthesis Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
* | Fix signed $shift/$shiftx handling in write_smt2Clifford Wolf2019-03-091-1/+2
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Add $dffsr support to async2syncClifford Wolf2019-03-091-2/+51
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge pull request #858 from YosysHQ/clifford/svalabelsClifford Wolf2019-03-096-58/+203
|\ \ | | | | | | Add support for using SVA labels in yosys-smtbmc console output
| * | Also add support for labels on sva module items, fixes #699Clifford Wolf2019-03-082-44/+113
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Use SVA label in smt export if availableClifford Wolf2019-03-071-2/+2
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Add support for SVA labels in read_verilogClifford Wolf2019-03-073-26/+89
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Add hack for handling SVA labels via VerificClifford Wolf2019-03-071-1/+14
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Merge pull request #861 from YosysHQ/verific_chparamClifford Wolf2019-03-082-3/+20
|\ \ \ | | | | | | | | Add -chparam option to verific command
| * | | Update help message for -chparamEddie Hung2019-03-091-1/+2
| | | |
| * | | Add -chparam option to verific commandEddie Hung2019-03-091-2/+18
| | | |
| * | | Fix spellingEddie Hung2019-03-091-1/+1
|/ / /
* | | Merge branch 'master' of github.com:YosysHQ/yosysClifford Wolf2019-03-076-10/+12
|\ \ \
| * \ \ Merge pull request #856 from kprasadvnsi/masterClifford Wolf2019-03-076-10/+12
| |\ \ \ | | |/ / | |/| | examples/anlogic/ now also output the SVF file.
| | * | examples/anlogic/ now also output the SVF file.Kali Prasad2019-03-066-10/+12
| | | |
* | | | Fix handling of task output ports in clocked always blocks, fixes #857Clifford Wolf2019-03-072-15/+37
|/ / / | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Add link to SF2 / igloo2 macro library guideClifford Wolf2019-03-071-21/+24
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Improvements in sf2 cells_sim.vClifford Wolf2019-03-062-30/+251
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Add sf2 techmap rules for more FF typesClifford Wolf2019-03-061-25/+39
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Refactor SF2 iobuf insertion, Add clkint insertionClifford Wolf2019-03-064-84/+153
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Improve igloo2 exampleClifford Wolf2019-03-051-2/+3
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Improve igloo2 exampleClifford Wolf2019-03-052-2/+54
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Improvements in SF2 flow and demoClifford Wolf2019-03-054-9/+25
|/ / | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Fix spelling in pmgen/README.mdEddie Hung2019-03-051-2/+2
| |
* | Improve igloo2 exmapleClifford Wolf2019-03-054-8/+16
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge pull request #842 from litghost/merge_upstreamClifford Wolf2019-03-0510-176/+570
|\ \ | | | | | | Changes required for VPR place and route in synth_xilinx
| * | Revert BRAM WRITE_MODE changes.Keith Rothman2019-03-041-12/+12
| | | | | | | | | | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
| * | Revert FF models to include IS_x_INVERTED parameters.Keith Rothman2019-03-011-6/+34
| | | | | | | | | | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
| * | Use singular for disabling of DRAM or BRAM inference.Keith Rothman2019-03-012-26/+19
| | | | | | | | | | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
| * | Modify arguments to match existing style.Keith Rothman2019-03-012-11/+11
| | | | | | | | | | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
| * | Changes required for VPR place and route synth_xilinx.Keith Rothman2019-03-0112-227/+600
| | | | | | | | | | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* | | Merge pull request #850 from daveshah1/ecp5_warn_conflictClifford Wolf2019-03-051-2/+7
|\ \ \ | | | | | | | | ecp5: Demote conflicting FF init values to a warning
| * | | ecp5: Demote conflicting FF init values to a warningDavid Shah2019-03-041-2/+7
| | | | | | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | | | Add missing newlineClifford Wolf2019-03-051-1/+1
| | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | Merge pull request #851 from kprasadvnsi/masterClifford Wolf2019-03-057-0/+55
|\ \ \ \ | | | | | | | | | | Added examples/anlogic/
| * | | | Added examples/anlogic/Kali Prasad2019-03-047-0/+55
| | | | |
* | | | | Merge pull request #852 from ucb-bar/firrtlfixesClifford Wolf2019-03-052-2/+2
|\ \ \ \ \ | | | | | | | | | | | | Ensure fid() calls make_id() for consistency; tests/simple/dff_init.v fails
| * | | | | Ensure fid() calls make_id() for consistency; tests/simple/dff_init.v failsJim Lawson2019-03-042-2/+2
| |/ / / / | | | | | | | | | | | | | | | Mark dff_init.v as expected to fail since it uses "initial value".
* / / / / Use "write_edif -pvector bra" for Xilinx EDIF filesClifford Wolf2019-03-051-1/+1
|/ / / / | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | Improve igloo2 exampleClifford Wolf2019-03-032-3/+10
| | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | Update igloo2 example to Libero v12.0Clifford Wolf2019-03-032-6/+5
| | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | Merge pull request #848 from YosysHQ/clifford/fix763Clifford Wolf2019-03-021-1/+5
|\ \ \ \ | | | | | | | | | | Fix error for wire decl in always block, fixes 763
| * | | | Fix error for wire decl in always block, fixes #763Clifford Wolf2019-03-021-1/+5
| | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | Merge pull request #849 from YosysHQ/clifford/dynportsClifford Wolf2019-03-024-1/+24
|\ \ \ \ \ | |/ / / / |/| | | | Only run derive on blackbox modules when ports have dynamic size
| * | | | Only run derive on blackbox modules when ports have dynamic sizeClifford Wolf2019-03-024-1/+24
|/ / / / | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>