aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--techlibs/common/simlib.v6
1 files changed, 2 insertions, 4 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v
index 2fd75372d..e64697efb 100644
--- a/techlibs/common/simlib.v
+++ b/techlibs/common/simlib.v
@@ -1279,11 +1279,9 @@ parameter WIDTH = 0;
input [WIDTH-1:0] A, B;
input S;
-output reg [WIDTH-1:0] Y;
+output [WIDTH-1:0] Y;
-always @* begin
- assign Y = S ? B : A;
-end
+assign Y = S ? B : A;
endmodule