diff options
author | Jannis Harder <me@jix.one> | 2022-10-28 19:48:00 +0200 |
---|---|---|
committer | Jannis Harder <me@jix.one> | 2022-10-28 19:48:00 +0200 |
commit | aa7e7df19f21baff7e6ccfbf106c3b23ec9d4f6d (patch) | |
tree | ba93d052c2c07b88541a9b06dee7b09158392dfb | |
parent | 518194fac1d9915b82d0898177ef0546a2a69abe (diff) | |
download | yosys-aa7e7df19f21baff7e6ccfbf106c3b23ec9d4f6d.tar.gz yosys-aa7e7df19f21baff7e6ccfbf106c3b23ec9d4f6d.tar.bz2 yosys-aa7e7df19f21baff7e6ccfbf106c3b23ec9d4f6d.zip |
simlib: Simplify recently changed $mux model
The use of a procedural continuous assignment introduced in #3526 was
unintended and is completely unnecessary for the actual change of that
PR.
-rw-r--r-- | techlibs/common/simlib.v | 6 |
1 files changed, 2 insertions, 4 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v index 2fd75372d..e64697efb 100644 --- a/techlibs/common/simlib.v +++ b/techlibs/common/simlib.v @@ -1279,11 +1279,9 @@ parameter WIDTH = 0; input [WIDTH-1:0] A, B; input S; -output reg [WIDTH-1:0] Y; +output [WIDTH-1:0] Y; -always @* begin - assign Y = S ? B : A; -end +assign Y = S ? B : A; endmodule |