aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2020-12-07 13:52:44 -0700
committerZachary Snow <zach@zachjs.com>2020-12-07 13:53:27 -0700
commit186d6df4c3e612667b1eb945ce3e27a92e2cb485 (patch)
tree026b6aad7e7f6b0974223eaf9cfb2e6b9207f1c7 /tests/various
parent95c60866813e520da48c628d4f98a2fe2cb4db25 (diff)
downloadyosys-186d6df4c3e612667b1eb945ce3e27a92e2cb485.tar.gz
yosys-186d6df4c3e612667b1eb945ce3e27a92e2cb485.tar.bz2
yosys-186d6df4c3e612667b1eb945ce3e27a92e2cb485.zip
Allow constant function calls in constant function arguments
Diffstat (limited to 'tests/various')
-rw-r--r--tests/various/const_arg_loop.v9
1 files changed, 9 insertions, 0 deletions
diff --git a/tests/various/const_arg_loop.v b/tests/various/const_arg_loop.v
index 3bfff4acd..76cc67abb 100644
--- a/tests/various/const_arg_loop.v
+++ b/tests/various/const_arg_loop.v
@@ -14,6 +14,11 @@ module top;
end
endfunction
+ function automatic [31:0] pass_through;
+ input [31:0] inp;
+ pass_through = inp;
+ endfunction
+
function automatic [31:0] operation2;
input [4:0] var;
input integer num;
@@ -47,6 +52,9 @@ module top;
wire [31:0] x1;
assign x1 = operation1(A, a);
+ wire [31:0] x1b;
+ assign x1b = operation1(pass_through(A), a);
+
wire [31:0] x2;
assign x2 = operation2(A, a);
@@ -58,6 +66,7 @@ module top;
assert property (a == 2);
assert property (A == 3);
assert property (x1 == 16);
+ assert property (x1b == 16);
assert property (x2 == 4);
assert property (x3 == 16);
`endif