aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/attrib01_module.v
diff options
context:
space:
mode:
authorMaciej Kurc <mkurc@antmicro.com>2019-06-03 09:12:51 +0200
committerMaciej Kurc <mkurc@antmicro.com>2019-06-03 09:25:20 +0200
commit5739cf52650ccb3627868d9c9d7e02888efad12b (patch)
treecb3e467303121061eeff62393a3c45bf76c03860 /tests/simple/attrib01_module.v
parenta6cadf6318f4eff6197d6c6f0e052c2417689f38 (diff)
downloadyosys-5739cf52650ccb3627868d9c9d7e02888efad12b.tar.gz
yosys-5739cf52650ccb3627868d9c9d7e02888efad12b.tar.bz2
yosys-5739cf52650ccb3627868d9c9d7e02888efad12b.zip
Added tests for attributes
Signed-off-by: Maciej Kurc <mkurc@antmicro.com>
Diffstat (limited to 'tests/simple/attrib01_module.v')
-rw-r--r--tests/simple/attrib01_module.v21
1 files changed, 21 insertions, 0 deletions
diff --git a/tests/simple/attrib01_module.v b/tests/simple/attrib01_module.v
new file mode 100644
index 000000000..adef34f5b
--- /dev/null
+++ b/tests/simple/attrib01_module.v
@@ -0,0 +1,21 @@
+module bar(clk, rst, inp, out);
+ input wire clk;
+ input wire rst;
+ input wire inp;
+ output reg out;
+
+ always @(posedge clk)
+ if (rst) out <= 1'd0;
+ else out <= ~inp;
+
+endmodule
+
+module foo(clk, rst, inp, out);
+ input wire clk;
+ input wire rst;
+ input wire inp;
+ output wire out;
+
+ bar bar_instance (clk, rst, inp, out);
+endmodule
+