aboutsummaryrefslogtreecommitdiffstats
path: root/tests/opt/opt_rmdff.ys
diff options
context:
space:
mode:
authorGeorge Rennie <georgerennie@gmail.com>2021-12-23 01:10:32 +0000
committerGeorge Rennie <georgerennie@gmail.com>2022-06-24 00:17:02 +0100
commitfbf5d89587decd2886d501d8c6e1cde076a5476f (patch)
treeb2dd44a7240e6c03d4450aef89f45015c3850589 /tests/opt/opt_rmdff.ys
parent34804f3fb63d8f576ec614904171c1384839cd73 (diff)
downloadyosys-fbf5d89587decd2886d501d8c6e1cde076a5476f.tar.gz
yosys-fbf5d89587decd2886d501d8c6e1cde076a5476f.tar.bz2
yosys-fbf5d89587decd2886d501d8c6e1cde076a5476f.zip
equiv_make: Add -make_assert option
This adds a -make_assert flag to equiv_make. When used, the pass generates $eqx and $assert cells to encode equivalence instead of $equiv.
Diffstat (limited to 'tests/opt/opt_rmdff.ys')
0 files changed, 0 insertions, 0 deletions