aboutsummaryrefslogtreecommitdiffstats
path: root/passes
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-10-14 10:36:37 +0200
committerClifford Wolf <clifford@clifford.at>2016-10-14 10:36:37 +0200
commitffbb4e992e5312d8feafcc1c6c850ea06c3e09b2 (patch)
tree48e946a805c3693f11fe6a908f99922aa8befb6b /passes
parent09aeb9a2aa2ce99c12c198ab4280166f8f573284 (diff)
downloadyosys-ffbb4e992e5312d8feafcc1c6c850ea06c3e09b2.tar.gz
yosys-ffbb4e992e5312d8feafcc1c6c850ea06c3e09b2.tar.bz2
yosys-ffbb4e992e5312d8feafcc1c6c850ea06c3e09b2.zip
Added MEMID handling to "flatten" pass
Diffstat (limited to 'passes')
-rw-r--r--passes/techmap/techmap.cc6
1 files changed, 6 insertions, 0 deletions
diff --git a/passes/techmap/techmap.cc b/passes/techmap/techmap.cc
index b2cc492b2..96b88eb42 100644
--- a/passes/techmap/techmap.cc
+++ b/passes/techmap/techmap.cc
@@ -345,6 +345,12 @@ struct TechmapWorker
c->setParam("\\MEMID", Const(memory_renames[memid].str()));
}
+ if (c->type == "$mem") {
+ string memid = c->getParam("\\MEMID").decode_string();
+ apply_prefix(cell->name.str(), memid);
+ c->setParam("\\MEMID", Const(memid));
+ }
+
if (c->attributes.count("\\src"))
c->add_strpool_attribute("\\src", extra_src_attrs);
}