aboutsummaryrefslogtreecommitdiffstats
path: root/manual/PRESENTATION_ExAdv.tex
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-02-16 22:31:53 +0100
committerClifford Wolf <clifford@clifford.at>2014-02-16 22:31:53 +0100
commit37cbb1ca60b03cbaaef5041db5f631b90a303f9a (patch)
treefb85bab67163a043495a9f7b1e941ae22c5ed511 /manual/PRESENTATION_ExAdv.tex
parent6d63f39eb6abbefd8a12f0fe081c33ef1638800c (diff)
downloadyosys-37cbb1ca60b03cbaaef5041db5f631b90a303f9a.tar.gz
yosys-37cbb1ca60b03cbaaef5041db5f631b90a303f9a.tar.bz2
yosys-37cbb1ca60b03cbaaef5041db5f631b90a303f9a.zip
Progress in presentation
Diffstat (limited to 'manual/PRESENTATION_ExAdv.tex')
-rw-r--r--manual/PRESENTATION_ExAdv.tex38
1 files changed, 38 insertions, 0 deletions
diff --git a/manual/PRESENTATION_ExAdv.tex b/manual/PRESENTATION_ExAdv.tex
index cf36d32cc..483389d84 100644
--- a/manual/PRESENTATION_ExAdv.tex
+++ b/manual/PRESENTATION_ExAdv.tex
@@ -361,6 +361,44 @@ this is known to work well.
\end{columns}
\end{frame}
+\subsubsection{Handling constant inputs}
+
+\begin{frame}{\subsubsecname}
+\begin{itemize}
+\item The special parameters {\tt \_TECHMAP\_CONSTMSK\_\it <port-name>\tt \_} and
+{\tt \_TECHMAP\_CONSTVAL\_\it <port-name>\tt \_} can be used to handle constant
+input values to cells.
+\medskip
+\item The former contains 1-bits for all constant input bits on the port.
+\medskip
+\item The latter contains the constant bits or undef (x) for non-constant bits.
+\medskip
+\item Example use-cases:
+\begin{itemize}
+\item Converting arithmetic (for example multiply to shift)
+\item Identify constant addresses or enable bits in memory interfaces.
+\end{itemize}
+\end{itemize}
+\end{frame}
+
+\begin{frame}[t]{\subsubsecname{} -- Example}
+\vbox to 0cm{
+\vskip5.2cm
+\hskip6.5cm\includegraphics[width=5cm,trim=0 0cm 0 0cm]{PRESENTATION_ExAdv/mulshift.pdf}
+\vss
+}
+\vskip-0.6cm
+\begin{columns}
+\column[t]{6cm}
+\vskip-0.4cm
+\lstinputlisting[basicstyle=\ttfamily\fontsize{7pt}{8pt}\selectfont, language=verilog]{PRESENTATION_ExAdv/mulshift_map.v}
+\column[t]{4.2cm}
+\vskip-0.6cm
+\lstinputlisting[basicstyle=\ttfamily\fontsize{8pt}{10pt}\selectfont, frame=single, language=verilog]{PRESENTATION_ExAdv/mulshift_test.v}
+\lstinputlisting[basicstyle=\ttfamily\fontsize{8pt}{10pt}\selectfont, frame=single, language=ys, lastline=5]{PRESENTATION_ExAdv/mulshift_test.ys}
+\end{columns}
+\end{frame}
+
\subsubsection{TBD}
\begin{frame}{\subsubsecname}