aboutsummaryrefslogtreecommitdiffstats
path: root/kernel/celltypes.h
diff options
context:
space:
mode:
authorMarcelina Koƛcielnicka <mwk@0x04.net>2021-05-21 02:26:52 +0200
committerMarcelina Koƛcielnicka <mwk@0x04.net>2021-07-28 23:18:38 +0200
commit19720b970dff017c47805e37745b9fcf29843c45 (patch)
treeb5a56d888b9e8c7530fc456a8748c32bb3feaed3 /kernel/celltypes.h
parent37d76deef1229048e145d77b5c75bcc2e0e1cf44 (diff)
downloadyosys-19720b970dff017c47805e37745b9fcf29843c45.tar.gz
yosys-19720b970dff017c47805e37745b9fcf29843c45.tar.bz2
yosys-19720b970dff017c47805e37745b9fcf29843c45.zip
memory: Introduce $meminit_v2 cell, with EN input.
Diffstat (limited to 'kernel/celltypes.h')
-rw-r--r--kernel/celltypes.h1
1 files changed, 1 insertions, 0 deletions
diff --git a/kernel/celltypes.h b/kernel/celltypes.h
index 2918b9039..2ce7978a4 100644
--- a/kernel/celltypes.h
+++ b/kernel/celltypes.h
@@ -157,6 +157,7 @@ struct CellTypes
setup_type(ID($memrd), {ID::CLK, ID::EN, ID::ADDR}, {ID::DATA});
setup_type(ID($memwr), {ID::CLK, ID::EN, ID::ADDR, ID::DATA}, pool<RTLIL::IdString>());
setup_type(ID($meminit), {ID::ADDR, ID::DATA}, pool<RTLIL::IdString>());
+ setup_type(ID($meminit_v2), {ID::ADDR, ID::DATA, ID::EN}, pool<RTLIL::IdString>());
setup_type(ID($mem), {ID::RD_CLK, ID::RD_EN, ID::RD_ADDR, ID::WR_CLK, ID::WR_EN, ID::WR_ADDR, ID::WR_DATA}, {ID::RD_DATA});
setup_type(ID($fsm), {ID::CLK, ID::ARST, ID::CTRL_IN}, {ID::CTRL_OUT});