aboutsummaryrefslogtreecommitdiffstats
path: root/backends
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-05-06 12:10:28 -0700
committerGitHub <noreply@github.com>2020-05-06 12:10:28 -0700
commita299e606f864942c7edf90c4ad3998f4f4a346cf (patch)
tree2150af3a69a0bb174f0a53139e606b5d3ed7b803 /backends
parent283b1130a651324ff870059dc3b1cf869948db93 (diff)
parent8f9bba1bbfdb56630dadd75a3f92f7bfb26b3df6 (diff)
downloadyosys-a299e606f864942c7edf90c4ad3998f4f4a346cf.tar.gz
yosys-a299e606f864942c7edf90c4ad3998f4f4a346cf.tar.bz2
yosys-a299e606f864942c7edf90c4ad3998f4f4a346cf.zip
Merge pull request #2028 from zachjs/master
verilog: allow null gen-if then block
Diffstat (limited to 'backends')
0 files changed, 0 insertions, 0 deletions