aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2020-02-01 22:44:06 -0300
committerRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2020-02-01 22:44:06 -0300
commiteaaba6e09132c07b85bdae418a2b7f46b57e019e (patch)
tree85c0803210dfe60c3870d6756d51d0b54c3f93f7
parent43396fae2c03b876557a73d3f3c19f4cd5161251 (diff)
downloadyosys-eaaba6e09132c07b85bdae418a2b7f46b57e019e.tar.gz
yosys-eaaba6e09132c07b85bdae418a2b7f46b57e019e.tar.bz2
yosys-eaaba6e09132c07b85bdae418a2b7f46b57e019e.zip
Added tests/memfile to 'make test' with an extra testcase
Signed-off-by: Rodrigo Alejandro Melo <rodrigomelo9@gmail.com>
-rw-r--r--Makefile1
-rwxr-xr-xtests/memfile/run-test.sh26
2 files changed, 11 insertions, 16 deletions
diff --git a/Makefile b/Makefile
index 43c4d0890..e9dfd9df0 100644
--- a/Makefile
+++ b/Makefile
@@ -728,6 +728,7 @@ test: $(TARGETS) $(EXTRA_TARGETS)
+cd tests/arch/anlogic && bash run-test.sh $(SEEDOPT)
+cd tests/arch/gowin && bash run-test.sh $(SEEDOPT)
+cd tests/rpc && bash run-test.sh
+ +cd tests/memfile && bash run-test.sh
@echo ""
@echo " Passed \"make test\"."
@echo ""
diff --git a/tests/memfile/run-test.sh b/tests/memfile/run-test.sh
index 3a88b81de..2bbc162e7 100755
--- a/tests/memfile/run-test.sh
+++ b/tests/memfile/run-test.sh
@@ -1,9 +1,6 @@
#!/bin/bash
-echo "* Creating Memory Content Files"
-
-for i in {1..64}
-do
+for i in {1..64}; do
echo "00001111000000001111111100000000" >> tempfile1.dat
done
@@ -12,28 +9,25 @@ cp tempfile1.dat temp/tempfile2.dat
cd ..
-echo "* Running from the parent directory"
-echo " * Memory Content File: tempfile1.dat"
+echo "Running from the parent directory with tempfile1.dat"
../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"tempfile1.dat\" memory; synth -top memory"
-echo " * Memory Content File: temp/tempfile2.dat"
+echo "Running from the parent directory with temp/tempfile2.dat"
../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"temp/tempfile2.dat\" memory; synth -top memory"
+echo "Running from the parent directory with memfile/temp/tempfile2.dat"
+../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"memfile/temp/tempfile2.dat\" memory; synth -top memory"
cd memfile
-echo "* Running from the same directory"
-echo " * Memory Content File: tempfile1.dat"
+echo "Running from the same directory with tempfile1.dat"
../../yosys -qp "read_verilog -defer memory.v; chparam -set MEMFILE \"tempfile1.dat\" memory; synth -top memory"
-echo " * Memory Content File: temp/tempfile2.dat"
+echo "Running from the same directory with temp/tempfile2.dat"
../../yosys -qp "read_verilog -defer memory.v; chparam -set MEMFILE \"temp/tempfile2.dat\" memory; synth -top memory"
cd temp
-echo "* Running from a child directory"
-echo " * Memory Content File: tempfile1.dat"
+echo "Running from a child directory with tempfile1.dat"
../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"tempfile1.dat\" memory; synth -top memory"
-echo " * Memory Content File: temp/tempfile2.dat"
+echo "Running from a child directory with temp/tempfile2.dat"
../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"temp/tempfile2.dat\" memory; synth -top memory"
-echo " * Memory Content File: tempfile2.dat"
+echo "Running from a child directory with tempfile2.dat"
../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"temp/tempfile2.dat\" memory; synth -top memory"
-
-echo "* Done"