aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-04 16:46:24 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-04 16:46:24 -0800
commit3f0bb441f8a3014bf6b3a656b673fc07663bda76 (patch)
tree44c760fc378b7c8ba222bf8603fe8a5028b213f8
parent266511b29eb66486bd17210eb28454a2efee218a (diff)
downloadyosys-3f0bb441f8a3014bf6b3a656b673fc07663bda76.tar.gz
yosys-3f0bb441f8a3014bf6b3a656b673fc07663bda76.tar.bz2
yosys-3f0bb441f8a3014bf6b3a656b673fc07663bda76.zip
Add tests
-rw-r--r--tests/aig/and.aag5
-rw-r--r--tests/aig/buffer.aag3
-rw-r--r--tests/aig/cnt1.aag3
-rw-r--r--tests/aig/cnt1e.aag8
-rw-r--r--tests/aig/empty.aag1
-rw-r--r--tests/aig/false.aag2
-rw-r--r--tests/aig/halfadder.aag14
-rw-r--r--tests/aig/inverter.aag3
-rw-r--r--tests/aig/notcnt1.aag4
-rw-r--r--tests/aig/notcnt1e.aag8
-rw-r--r--tests/aig/or.aag5
-rwxr-xr-xtests/aig/run-test.sh20
-rw-r--r--tests/aig/toggle-re.aag14
-rw-r--r--tests/aig/toggle.aag4
-rw-r--r--tests/aig/true.aag2
-rwxr-xr-xtests/tools/autotest.sh21
16 files changed, 109 insertions, 8 deletions
diff --git a/tests/aig/and.aag b/tests/aig/and.aag
new file mode 100644
index 000000000..d1ef2c5a5
--- /dev/null
+++ b/tests/aig/and.aag
@@ -0,0 +1,5 @@
+aag 3 2 0 1 1
+2
+4
+6
+6 2 4
diff --git a/tests/aig/buffer.aag b/tests/aig/buffer.aag
new file mode 100644
index 000000000..94a6fb1ed
--- /dev/null
+++ b/tests/aig/buffer.aag
@@ -0,0 +1,3 @@
+aag 1 1 0 1 0
+2
+2
diff --git a/tests/aig/cnt1.aag b/tests/aig/cnt1.aag
new file mode 100644
index 000000000..ce4f28fcb
--- /dev/null
+++ b/tests/aig/cnt1.aag
@@ -0,0 +1,3 @@
+aag 1 0 1 0 0 1
+2 3
+2
diff --git a/tests/aig/cnt1e.aag b/tests/aig/cnt1e.aag
new file mode 100644
index 000000000..6db3f0ffd
--- /dev/null
+++ b/tests/aig/cnt1e.aag
@@ -0,0 +1,8 @@
+aag 5 1 1 0 3 1
+2
+4 10
+4
+6 5 3
+8 4 2
+10 9 7
+b0 AIGER_NEVER
diff --git a/tests/aig/empty.aag b/tests/aig/empty.aag
new file mode 100644
index 000000000..40c0f00cb
--- /dev/null
+++ b/tests/aig/empty.aag
@@ -0,0 +1 @@
+aag 0 0 0 0 0
diff --git a/tests/aig/false.aag b/tests/aig/false.aag
new file mode 100644
index 000000000..421e64a91
--- /dev/null
+++ b/tests/aig/false.aag
@@ -0,0 +1,2 @@
+aag 0 0 0 1 0
+0
diff --git a/tests/aig/halfadder.aag b/tests/aig/halfadder.aag
new file mode 100644
index 000000000..5bf54d38d
--- /dev/null
+++ b/tests/aig/halfadder.aag
@@ -0,0 +1,14 @@
+aag 7 2 0 2 3
+2
+4
+6
+12
+6 13 15
+12 2 4
+14 3 5
+i0 x
+i1 y
+o0 s
+o1 c
+c
+half adder
diff --git a/tests/aig/inverter.aag b/tests/aig/inverter.aag
new file mode 100644
index 000000000..ff7c28542
--- /dev/null
+++ b/tests/aig/inverter.aag
@@ -0,0 +1,3 @@
+aag 1 1 0 1 0
+2
+3
diff --git a/tests/aig/notcnt1.aag b/tests/aig/notcnt1.aag
new file mode 100644
index 000000000..e92815f23
--- /dev/null
+++ b/tests/aig/notcnt1.aag
@@ -0,0 +1,4 @@
+aag 1 0 1 0 0 1
+2 3
+3
+b0 AIGER_NEVER
diff --git a/tests/aig/notcnt1e.aag b/tests/aig/notcnt1e.aag
new file mode 100644
index 000000000..141c864f7
--- /dev/null
+++ b/tests/aig/notcnt1e.aag
@@ -0,0 +1,8 @@
+aag 5 1 1 0 3 1
+2
+4 10
+5
+6 5 3
+8 4 2
+10 9 7
+b0 AIGER_NEVER
diff --git a/tests/aig/or.aag b/tests/aig/or.aag
new file mode 100644
index 000000000..f780e339f
--- /dev/null
+++ b/tests/aig/or.aag
@@ -0,0 +1,5 @@
+aag 3 2 0 1 1
+2
+4
+7
+6 3 5
diff --git a/tests/aig/run-test.sh b/tests/aig/run-test.sh
new file mode 100755
index 000000000..308578f01
--- /dev/null
+++ b/tests/aig/run-test.sh
@@ -0,0 +1,20 @@
+#!/bin/bash
+
+OPTIND=1
+seed="" # default to no seed specified
+while getopts "S:" opt
+do
+ case "$opt" in
+ S) arg="${OPTARG#"${OPTARG%%[![:space:]]*}"}" # remove leading space
+ seed="SEED=$arg" ;;
+ esac
+done
+shift "$((OPTIND-1))"
+
+# check for Icarus Verilog
+if ! which iverilog > /dev/null ; then
+ echo "$0: Error: Icarus Verilog 'iverilog' not found."
+ exit 1
+fi
+
+exec ${MAKE:-make} -f ../tools/autotest.mk $seed *.aag EXTRA_FLAGS="-f aiger"
diff --git a/tests/aig/toggle-re.aag b/tests/aig/toggle-re.aag
new file mode 100644
index 000000000..b662bb386
--- /dev/null
+++ b/tests/aig/toggle-re.aag
@@ -0,0 +1,14 @@
+aag 7 2 1 2 4
+2
+4
+6 8
+6
+7
+8 4 10
+10 13 15
+12 2 6
+14 3 7
+i0 enable
+i1 reset
+o0 Q
+o1 !Q
diff --git a/tests/aig/toggle.aag b/tests/aig/toggle.aag
new file mode 100644
index 000000000..09651012d
--- /dev/null
+++ b/tests/aig/toggle.aag
@@ -0,0 +1,4 @@
+aag 1 0 1 2 0
+2 3
+2
+3
diff --git a/tests/aig/true.aag b/tests/aig/true.aag
new file mode 100644
index 000000000..366893648
--- /dev/null
+++ b/tests/aig/true.aag
@@ -0,0 +1,2 @@
+aag 0 0 0 1 0
+1
diff --git a/tests/tools/autotest.sh b/tests/tools/autotest.sh
index d6216244f..c01ce5611 100755
--- a/tests/tools/autotest.sh
+++ b/tests/tools/autotest.sh
@@ -86,8 +86,9 @@ shift $((OPTIND - 1))
for fn
do
- bn=${fn%.v}
- if [ "$bn" == "$fn" ]; then
+ bn=${fn%.*}
+ ext=${fn##*.}
+ if [[ "$ext" != "v" ]] && [[ "$ext" != "aag" ]]; then
echo "Invalid argument: $fn" >&2
exit 1
fi
@@ -109,10 +110,14 @@ do
fn=$(basename $fn)
bn=$(basename $bn)
- egrep -v '^\s*`timescale' ../$fn > ${bn}_ref.v
+ if [[ "$ext" == "v" ]]; then
+ egrep -v '^\s*`timescale' ../$fn > ${bn}_ref.${ext}
+ else
+ cp ../$fn ${bn}_ref.${ext}
+ fi
if [ ! -f ../${bn}_tb.v ]; then
- "$toolsdir"/../../yosys -f "$frontend $include_opts" -b "test_autotb $autotb_opts" -o ${bn}_tb.v ${bn}_ref.v
+ "$toolsdir"/../../yosys -f "$frontend $include_opts" -b "test_autotb $autotb_opts" -o ${bn}_tb.v ${bn}_ref.${ext}
else
cp ../${bn}_tb.v ${bn}_tb.v
fi
@@ -138,16 +143,16 @@ do
fi
if [ -n "$scriptfiles" ]; then
- test_passes -f "$frontend $include_opts" ${bn}_ref.v $scriptfiles
+ test_passes -f "$frontend $include_opts" ${bn}_ref.${ext} $scriptfiles
elif [ -n "$scriptopt" ]; then
- test_passes -f "$frontend $include_opts" -p "$scriptopt" ${bn}_ref.v
+ test_passes -f "$frontend $include_opts" -p "$scriptopt" ${bn}_ref.${ext}
elif [ "$frontend" = "verific" ]; then
test_passes -p "verific -vlog2k ${bn}_ref.v; verific -import -all; opt; memory;;"
elif [ "$frontend" = "verific_gates" ]; then
test_passes -p "verific -vlog2k ${bn}_ref.v; verific -import -gates -all; opt; memory;;"
else
- test_passes -f "$frontend $include_opts" -p "hierarchy; proc; opt; memory; opt; fsm; opt -full -fine" ${bn}_ref.v
- test_passes -f "$frontend $include_opts" -p "hierarchy; synth -run coarse; techmap; opt; abc -dff" ${bn}_ref.v
+ test_passes -f "$frontend $include_opts" -p "hierarchy; proc; opt; memory; opt; fsm; opt -full -fine" ${bn}_ref.${ext}
+ test_passes -f "$frontend $include_opts" -p "hierarchy; synth -run coarse; techmap; opt; abc -dff" ${bn}_ref.${ext}
fi
touch ../${bn}.log
}