diff options
author | Eddie Hung <eddie@fpgeh.com> | 2020-05-13 10:11:45 -0700 |
---|---|---|
committer | Eddie Hung <eddie@fpgeh.com> | 2020-05-13 10:11:45 -0700 |
commit | 0d2c33f9f4f8ca1bb507e3e688e0c7d372f0247b (patch) | |
tree | 89597f7f47d6f8134693afb798b0a1102f0c0a7c | |
parent | 1f3003be7d464372b1c94d6b8e47ffa0d75de0d3 (diff) | |
download | yosys-0d2c33f9f4f8ca1bb507e3e688e0c7d372f0247b.tar.gz yosys-0d2c33f9f4f8ca1bb507e3e688e0c7d372f0247b.tar.bz2 yosys-0d2c33f9f4f8ca1bb507e3e688e0c7d372f0247b.zip |
tests: update/extend task argument tests
-rw-r--r-- | tests/verilog/bug2042-sv.ys | 34 | ||||
-rw-r--r-- | tests/verilog/bug2042.ys | 3 |
2 files changed, 35 insertions, 2 deletions
diff --git a/tests/verilog/bug2042-sv.ys b/tests/verilog/bug2042-sv.ys new file mode 100644 index 000000000..9a0d419c8 --- /dev/null +++ b/tests/verilog/bug2042-sv.ys @@ -0,0 +1,34 @@ +read_verilog -sv <<EOT +module Task_Test_Top +( +input a, +output b +); + + task SomeTaskName(a); + b = ~a; + endtask + + always @* + SomeTaskName(a); + + assert property (b == ~a); + +endmodule +EOT +proc +sat -verify -prove-asserts + + +design -reset +logger -expect error "syntax error, unexpected TOK_ENDTASK, expecting ';'" 1 +read_verilog -sv <<EOT +module Task_Test_Top +( +); + + task SomeTaskName(a) + endtask + +endmodule +EOT diff --git a/tests/verilog/bug2042.ys b/tests/verilog/bug2042.ys index 009e2c20c..f9d8e2837 100644 --- a/tests/verilog/bug2042.ys +++ b/tests/verilog/bug2042.ys @@ -1,4 +1,4 @@ -logger -expect error "Non-ANSI style task/function arguments not currently supported" 1 +logger -expect error "task/function argument direction missing" 1 read_verilog <<EOT module Task_Test_Top ( @@ -9,4 +9,3 @@ module Task_Test_Top endmodule EOT - |