aboutsummaryrefslogtreecommitdiffstats
path: root/.github
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2022-10-21 17:00:46 +0200
committerMiodrag Milanovic <mmicko@gmail.com>2022-10-21 17:00:46 +0200
commit61dfc26d74fba85c99187568651be8f72aee6652 (patch)
tree3563724fd9061a935fbb9ee022dd6bb34bb723ee /.github
parent4f4cff00800dec70796d4d5ec70c73eef837f948 (diff)
downloadyosys-61dfc26d74fba85c99187568651be8f72aee6652.tar.gz
yosys-61dfc26d74fba85c99187568651be8f72aee6652.tar.bz2
yosys-61dfc26d74fba85c99187568651be8f72aee6652.zip
Update versions of CI actions used
Diffstat (limited to '.github')
-rw-r--r--.github/workflows/emcc.yml6
-rw-r--r--.github/workflows/test-linux.yml4
-rw-r--r--.github/workflows/test-macos.yml4
-rw-r--r--.github/workflows/version.yml6
-rw-r--r--.github/workflows/vs.yml8
5 files changed, 14 insertions, 14 deletions
diff --git a/.github/workflows/emcc.yml b/.github/workflows/emcc.yml
index f1cc4b3e7..7a9a6064f 100644
--- a/.github/workflows/emcc.yml
+++ b/.github/workflows/emcc.yml
@@ -7,10 +7,10 @@ jobs:
runs-on: ubuntu-latest
steps:
- uses: mymindstorm/setup-emsdk@v11
- - uses: actions/checkout@v2
+ - uses: actions/checkout@v3
- name: Cache sources
id: cache-sources
- uses: actions/cache@v2
+ uses: actions/cache@v3
with:
path: .
key: cache-yosys
@@ -18,7 +18,7 @@ jobs:
run: |
make config-emcc
make YOSYS_VER=latest
- - uses: actions/upload-artifact@v2
+ - uses: actions/upload-artifact@v3
with:
name: yosysjs
path: yosysjs-latest.zip
diff --git a/.github/workflows/test-linux.yml b/.github/workflows/test-linux.yml
index e27ea37d2..b974757c4 100644
--- a/.github/workflows/test-linux.yml
+++ b/.github/workflows/test-linux.yml
@@ -84,7 +84,7 @@ jobs:
$CXX --version
- name: Checkout Yosys
- uses: actions/checkout@v2
+ uses: actions/checkout@v3
- name: Get iverilog
shell: bash
@@ -93,7 +93,7 @@ jobs:
- name: Cache iverilog
id: cache-iverilog
- uses: actions/cache@v2
+ uses: actions/cache@v3
with:
path: .local/
key: ${{ matrix.os.id }}-${{ hashFiles('iverilog/.git/refs/heads/master') }}
diff --git a/.github/workflows/test-macos.yml b/.github/workflows/test-macos.yml
index 22cf5e658..048457234 100644
--- a/.github/workflows/test-macos.yml
+++ b/.github/workflows/test-macos.yml
@@ -35,7 +35,7 @@ jobs:
cc --version
- name: Checkout Yosys
- uses: actions/checkout@v2
+ uses: actions/checkout@v3
- name: Get iverilog
shell: bash
@@ -44,7 +44,7 @@ jobs:
- name: Cache iverilog
id: cache-iverilog
- uses: actions/cache@v2
+ uses: actions/cache@v3
with:
path: .local/
key: ${{ matrix.os.id }}-${{ hashFiles('iverilog/.git/refs/heads/master') }}
diff --git a/.github/workflows/version.yml b/.github/workflows/version.yml
index c6f4da30d..c2a1756e9 100644
--- a/.github/workflows/version.yml
+++ b/.github/workflows/version.yml
@@ -10,15 +10,15 @@ jobs:
runs-on: ubuntu-latest
steps:
- name: Checkout
- uses: actions/checkout@v2
+ uses: actions/checkout@v3
with:
fetch-depth: 0
- name: Take last commit
id: log
- run: echo "::set-output name=message::$(git log --no-merges -1 --oneline)"
+ run: echo "message=$(git log --no-merges -1 --oneline)" >> $GITHUB_OUTPUT
- name: Take repository
id: repo
- run: echo "::set-output name=message::$GITHUB_REPOSITORY"
+ run: echo "message=$GITHUB_REPOSITORY" >> $GITHUB_OUTPUT
- name: Bump version
if: "!contains(steps.log.outputs.message, 'Bump version') && contains(steps.repo.outputs.message, 'YosysHQ/yosys')"
run: |
diff --git a/.github/workflows/vs.yml b/.github/workflows/vs.yml
index 79a8401d6..744ad5677 100644
--- a/.github/workflows/vs.yml
+++ b/.github/workflows/vs.yml
@@ -6,16 +6,16 @@ jobs:
yosys-vcxsrc:
runs-on: ubuntu-latest
steps:
- - uses: actions/checkout@v2
+ - uses: actions/checkout@v3
- name: Cache sources
id: cache-sources
- uses: actions/cache@v2
+ uses: actions/cache@v3
with:
path: .
key: cache-yosys
- name: Build
run: make vcxsrc YOSYS_VER=latest
- - uses: actions/upload-artifact@v2
+ - uses: actions/upload-artifact@v3
with:
name: vcxsrc
path: yosys-win32-vcxsrc-latest.zip
@@ -24,7 +24,7 @@ jobs:
runs-on: windows-2019
needs: yosys-vcxsrc
steps:
- - uses: actions/download-artifact@v2
+ - uses: actions/download-artifact@v3
with:
name: vcxsrc
path: .