aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/ram_nexus/ram_nexus.v
blob: e91a64bc1df161959e7e776b422776bf445bd360 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
module ram0(
    // Write port
    input wrclk,
    input [7:0] di,
    input wren,
    input [5:0] wraddr,
    // Read port
    input rdclk,
    input rden,
    input [5:0] rdaddr,
    output reg [7:0] do);

    (* syn_ramstyle = "block_ram" *) reg [7:0] ram[0:63];

    initial begin
        ram[0] = 8'b00000001;
        ram[1] = 8'b10101010;
        ram[2] = 8'b01010101;
        ram[3] = 8'b11111111;
        ram[4] = 8'b11110000;
        ram[5] = 8'b00001111;
        ram[6] = 8'b11001100;
        ram[7] = 8'b00110011;
        ram[8] = 8'b00000010;
        ram[9] = 8'b00000100;
    end

    always @ (posedge wrclk) begin
        if(wren == 1) begin
            ram[wraddr] <= di;
        end
    end

    always @ (posedge rdclk) begin
        if(rden == 1) begin
            do <= ram[rdaddr];
        end
    end

endmodule

module top (
    input  wire clk,

    input wire  pb0,
    input wire  pb1,

    input  wire [7:0] sw,
    output wire [13:0] led
);
    wire bufclk;
    DCC gbuf_i(.CLKI(clk), .CLKO(bufclk));


    wire rden;
    reg wren;
    wire [5:0] rdaddr;
    wire [5:0] wraddr;
    wire [7:0] di;
    wire [7:0] do;
    ram0 ram(
        .wrclk(bufclk),
        .di(di),
        .wren(wren),
        .wraddr(wraddr),
        .rdclk(bufclk),
        .rden(rden),
        .rdaddr(rdaddr),
        .do(do)
    );

    reg [5:0] address_reg;
    reg [7:0] data_reg;
    reg [7:0] out_reg;

    assign rdaddr = address_reg;
    assign wraddr = address_reg;

    // input_mode == 00 -> in[3:0] -> address_reg
    // input_mode == 01 -> in[3:0] -> data_reg[3:0]
    // input_mode == 10 -> in[3:0] -> data_reg[7:4]
    // input_mode == 11 -> data_reg -> ram[address_reg]
    wire [1:0] input_mode;

    // WE == 0 -> address_reg and data_reg unchanged.
    // WE == 1 -> address_reg or data_reg is updated because on input_mode.
    wire we;

    assign input_mode[0] = ~sw[6];
    assign input_mode[1] = ~sw[7];

    assign we = ~pb0;
    assign led = ~{address_reg, out_reg};
    assign di = data_reg;
    assign rden = 1;

    initial begin
        wren = 1'b0;
        address_reg = 10'b0;
        data_reg = 16'b0;
        out_reg = 16'b0;
    end

    always @ (posedge bufclk) begin
        out_reg <= do;

        if(we == 1) begin
            if(input_mode == 0) begin
                address_reg <= ~sw[5:0];
                wren <= 0;
            end else if(input_mode == 1) begin
                data_reg[3:0] <= ~sw[3:0];
                wren <= 0;
            end else if(input_mode == 2) begin
                data_reg[7:4] <= ~sw[3:0];
                wren <= 0;
            end else if(input_mode == 3) begin
                wren <= 1;
            end
        end
    end

endmodule