diff options
author | David Shah <dave@ds0.me> | 2019-08-08 21:35:55 +0100 |
---|---|---|
committer | David Shah <dave@ds0.me> | 2019-08-08 21:35:55 +0100 |
commit | c9969c15938a6146e058bb3991c58ce1cf81ea42 (patch) | |
tree | 7cbb23f795134e0efebba8a93cd091f7b5362504 /ice40/examples | |
parent | dc565b11c793aa41f305a8c9707535ca30d5da59 (diff) | |
download | nextpnr-c9969c15938a6146e058bb3991c58ce1cf81ea42.tar.gz nextpnr-c9969c15938a6146e058bb3991c58ce1cf81ea42.tar.bz2 nextpnr-c9969c15938a6146e058bb3991c58ce1cf81ea42.zip |
Add deprecation warning for default packages
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'ice40/examples')
-rwxr-xr-x | ice40/examples/blinky/blinky.sh | 2 | ||||
-rwxr-xr-x | ice40/examples/floorplan/floorplan.sh | 2 |
2 files changed, 2 insertions, 2 deletions
diff --git a/ice40/examples/blinky/blinky.sh b/ice40/examples/blinky/blinky.sh index 5dfc1ce8..604c8bf2 100755 --- a/ice40/examples/blinky/blinky.sh +++ b/ice40/examples/blinky/blinky.sh @@ -1,7 +1,7 @@ #!/bin/bash set -ex yosys blinky.ys -../../../nextpnr-ice40 --json blinky.json --pcf blinky.pcf --asc blinky.asc +../../../nextpnr-ice40 --hx1k --package tq144 --json blinky.json --pcf blinky.pcf --asc blinky.asc icepack blinky.asc blinky.bin icebox_vlog blinky.asc > blinky_chip.v iverilog -o blinky_tb blinky_chip.v blinky_tb.v diff --git a/ice40/examples/floorplan/floorplan.sh b/ice40/examples/floorplan/floorplan.sh index e0ed7a64..49461f8d 100755 --- a/ice40/examples/floorplan/floorplan.sh +++ b/ice40/examples/floorplan/floorplan.sh @@ -1,6 +1,6 @@ #!/usr/bin/env bash set -ex yosys -p "synth_ice40 -top top -json floorplan.json" floorplan.v -../../../nextpnr-ice40 --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py +../../../nextpnr-ice40 --package sg48 --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py icepack floorplan.asc floorplan.bin iceprog floorplan.bin |